0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Artix-7 ARTY FPGA評估套件的演示

Xilinx視頻 ? 來源:郭婷 ? 2018-11-29 06:23 ? 次閱讀

視頻演示了基于Artix-7的低功耗ARTY FPGA評估套件,該套件采用-1LI Artix-7 FPGA。 對于演示,該套件的工作功率不到半瓦,運(yùn)行真實設(shè)計,并配有軟處理器

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600479
  • 賽靈思
    +關(guān)注

    關(guān)注

    32

    文章

    1794

    瀏覽量

    131079
  • 低功耗
    +關(guān)注

    關(guān)注

    10

    文章

    2331

    瀏覽量

    103459
收藏 人收藏

    評論

    相關(guān)推薦

    國產(chǎn)FPGA核心板!米爾紫光同創(chuàng)Logos-2和Xilinx Artix-7核心板

    PG2L100H的MYC-J2L100H核心板及開發(fā)板、基于Xilinx Artix-7系列的MYC-J7A100T核心板及開發(fā)板。 國產(chǎn)FPGA開發(fā)平臺紫光同創(chuàng)Logos-2紫光同創(chuàng)Logos2
    發(fā)表于 05-31 17:40

    FPGA核心板 Xilinx Artix-7系列XC7A100T開發(fā)平臺,米爾FPGA工業(yè)開發(fā)板

    MYC-J7A100T核心板及開發(fā)板Xilinx Artix-7系列XC7A100T開發(fā)平臺,FPGA工業(yè)芯XC7A100T-2FGG484
    發(fā)表于 05-31 15:12 ?8次下載

    FPGA核心板上市!紫光同創(chuàng)Logos-2和Xilinx Artix-7系列

    PG2L100H的MYC-J2L100H核心板及開發(fā)板、基于XilinxArtix-7系列的MYC-J7A100T核心板及開發(fā)板。國產(chǎn)FPGA開發(fā)平臺紫光同創(chuàng)Log
    的頭像 發(fā)表于 05-30 08:01 ?1028次閱讀
    <b class='flag-5'>FPGA</b>核心板上市!紫光同創(chuàng)Logos-2和Xilinx <b class='flag-5'>Artix-7</b>系列

    8V97052評估套件數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《8V97052評估套件數(shù)據(jù)手冊.rar》資料免費下載
    發(fā)表于 05-13 17:22 ?0次下載
    8V97052<b class='flag-5'>評估</b><b class='flag-5'>套件</b>數(shù)據(jù)手冊

    8A34044 ClockMatrix評估套件數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《8A34044 ClockMatrix評估套件數(shù)據(jù)手冊.pdf》資料免費下載
    發(fā)表于 05-11 16:51 ?0次下載
    8A34044 ClockMatrix<b class='flag-5'>評估</b><b class='flag-5'>套件</b>數(shù)據(jù)手冊

    8A34003 ClockMatrix評估套件數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《8A34003 ClockMatrix評估套件數(shù)據(jù)手冊.rar》資料免費下載
    發(fā)表于 05-11 16:07 ?0次下載
    8A34003 ClockMatrix<b class='flag-5'>評估</b><b class='flag-5'>套件</b>數(shù)據(jù)手冊

    Zynq-7000為何不是FPGA?

    Zynq-7000可擴(kuò)展處理平臺是采用賽靈思新一代FPGAArtix-7與Kintex-7FPGA)所采用的同一28nm可編程技術(shù)的最新產(chǎn)品系列。
    發(fā)表于 04-26 11:30 ?940次閱讀
    Zynq-7000為何不是<b class='flag-5'>FPGA</b>?

    嵌入式FPGA Artix-7教學(xué)實驗箱(兼容紫光Logos-2)

    丨?基于XilinxArtix-7實驗箱基于XilinxArtix-7系列FPGA處理器,邏輯單元101K個,DSPSlice240個。適用于測控、自動化、工業(yè)控制、電力控制、音頻處理、信號處理等
    的頭像 發(fā)表于 04-26 08:32 ?479次閱讀
    嵌入式<b class='flag-5'>FPGA</b> <b class='flag-5'>Artix-7</b>教學(xué)實驗箱(兼容紫光Logos-2)

    NCV78702子板評估套件數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《NCV78702子板評估套件數(shù)據(jù)手冊.rar》資料免費下載
    發(fā)表于 04-18 18:11 ?0次下載
    NCV78702子板<b class='flag-5'>評估</b><b class='flag-5'>套件</b>數(shù)據(jù)手冊

    照明-電源-POE-GEVB評估套件數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《照明-電源-POE-GEVB評估套件數(shù)據(jù)手冊.rar》資料免費下載
    發(fā)表于 04-15 15:36 ?2次下載
    照明-電源-POE-GEVB<b class='flag-5'>評估</b><b class='flag-5'>套件</b>數(shù)據(jù)手冊

    ZSSC3281評估套件手冊

    電子發(fā)燒友網(wǎng)站提供《ZSSC3281評估套件手冊.pdf》資料免費下載
    發(fā)表于 01-30 18:11 ?0次下載
    ZSSC3281<b class='flag-5'>評估</b><b class='flag-5'>套件</b>手冊

    XMC7200評估套件的SPI引腳是什么?

    XMC 7200評估套件的 SPI 引腳是什么,因此我 CAN 通過 SPI 接口將其與 BGTR 雷達(dá)傳感器連接。
    發(fā)表于 01-29 07:40

    如何設(shè)置ACE和DGP實現(xiàn)AD9164評估套件的subclass1模式?

    已經(jīng)有FPGA底板和AD9164評估套件,如何設(shè)置ACE和DGP實現(xiàn)AD9164的subclass1模式,直接設(shè)置ACE和DGP為subclass1模式并沒有生成sysref±信號,請問該如何設(shè)置參數(shù),實現(xiàn)該模式的通信
    發(fā)表于 12-08 07:14

    簡述Xilinx 7系列FPGA芯片相關(guān)知識

    芯片的知識以及特點。 一、7系列芯片的工藝級別 xilinx 7系列FPGA芯片采用的是28nm生產(chǎn)工藝,主要分為Spartan、Artix、Kintex和Virtex四個系列。 ?
    的頭像 發(fā)表于 11-28 10:20 ?1016次閱讀
    簡述Xilinx <b class='flag-5'>7</b>系列<b class='flag-5'>FPGA</b>芯片相關(guān)知識

    Xilinx FPGA芯片內(nèi)部時鐘和復(fù)位信號使用方法

    如果FPGA沒有外部時鐘源輸入,可以通過調(diào)用STARTUP原語,來使用FPGA芯片內(nèi)部的時鐘和復(fù)位信號,Spartan-6系列內(nèi)部時鐘源是50MHz,Artix-7、Kintex-7
    的頭像 發(fā)表于 10-27 11:26 ?1631次閱讀
    Xilinx <b class='flag-5'>FPGA</b>芯片內(nèi)部時鐘和復(fù)位信號使用方法