0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

靜態(tài)時序分析基礎(chǔ)

電子工程師 ? 來源:工程師李察 ? 2018-12-01 08:20 ? 次閱讀

靜態(tài)時序分析基礎(chǔ)

建立時間,保持時間

為了確保寄存器時鐘沿穩(wěn)定采集數(shù)據(jù),那么必須要滿足寄存器的建立,保持時間要求。

建立時間要求:在寄存器有效時鐘沿之前至少Tsetup時間,數(shù)據(jù)必須到達且穩(wěn)定。如下圖所示。

保持時間要求:在數(shù)據(jù)采集有效時鐘沿之后,數(shù)據(jù)必須維持最短Thold時間不變。如下圖所示。

建立時間裕量計算

同步時序電路如下圖所示。這里對后面一個寄存器進行建立時間裕量分析。

靜態(tài)時序分析基礎(chǔ)

其中寄存器的輸出延時為Tcq,即時鐘有效沿之后延時Tcq時間,數(shù)據(jù)才到達寄存器Q端。第一個寄存器有效時鐘沿之后Tcq時間,數(shù)據(jù)才到達Q1端,如下圖所示。

再經(jīng)過組合邏輯運算,得到數(shù)據(jù)C1,其中組合邏輯的延時為Tlogic。時序如下圖。

靜態(tài)時序分析基礎(chǔ)

從這里可以看到,如果clk2和clk1之間沒有延時。那么對于后面的寄存器而言,數(shù)據(jù)提前于采樣時鐘沿之前Tcycle-(Tcq+Tlogic)時間到來,且穩(wěn)定。其中Tcycle為時鐘周期。

靜態(tài)時序分析基礎(chǔ)

由于寄存器要求的建立時間為Tsetup,故電路必須滿足 Tcycle -(Tcq+Tlogic)- Tsetup>0 。建立時間裕量 Tset_slack =Tcycle -(Tcq+Tlogic)- Tsetup

如果clk1與clk2之間存在skew,如下圖所示,如正skew。得建立時間裕量為

Tset_slack =Tcycle -(Tcq+Tlogic)- Tsetup + Tskew

這是對建立時間有益的。

保持時間裕量計算

在時鐘沒有skew的情況下。在后一個寄存器有效采樣時鐘沿之后,同時新的C1只有經(jīng)過Tcq+Tlogic之后才會到達。故要滿足保持時間要求,只需要滿足Tcq+Tlogic>Thold。保持時間裕量Thold_slack =Tcq+Tlogic-Thold

靜態(tài)時序分析基礎(chǔ)

如果clk1與clk2之間存在skew。如下圖所示(正skew)。

靜態(tài)時序分析基礎(chǔ)

可以看到,C1_NEW在clk2時鐘沿后,Tlogic+Tcq-Tskew就改變了。此時保持時間裕量Thold_slack =Tcq+Tlogic-Thold - Tskew ??梢钥吹?,正skew對保持時間是無益的。

總結(jié)

可以看到,必須滿足建立時間要求和保持時間要求,級滿足如下關(guān)系式。

Tset_slack =Tcycle -(Tcq+Tlogic)- Tsetup + Tskew>0

Thold_slack =Tcq+Tlogic-Thold - Tskew > 0

從中可以看出,建立時間與保持時間要求是一對矛盾的關(guān)系,在設(shè)計電路時,我們要折中考慮。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電路
    +關(guān)注

    關(guān)注

    172

    文章

    5816

    瀏覽量

    171601
  • 時鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1701

    瀏覽量

    131184
  • 靜態(tài)時序
    +關(guān)注

    關(guān)注

    0

    文章

    19

    瀏覽量

    6933

原文標題:靜態(tài)時序分析基礎(chǔ)

文章出處:【微信號:LF-FPGA,微信公眾號:小魚FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    靜態(tài)時序分析原理及詳細過程

    靜態(tài)時序分析是檢查IC系統(tǒng)時序是否滿足要求的主要手段。以往時序的驗證依賴于仿真,采用仿真的方法,覆蓋率跟所施加的激勵有關(guān),有些
    的頭像 發(fā)表于 11-25 11:03 ?9791次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b>的<b class='flag-5'>分析</b>原理及詳細過程

    [求助]靜態(tài)時序分析時序仿真?

    自己做了一個工程,靜態(tài)時序分析的結(jié)果CLK信號的SLACK是負值(-7.399ns),書上說該值是負值時說明時序不對,但是我感覺時序仿真的結(jié)
    發(fā)表于 03-03 23:22

    【分享】靜態(tài)時序分析與邏輯設(shè)計華為出品

    靜態(tài)時序分析與邏輯設(shè)計
    發(fā)表于 05-27 12:28

    靜態(tài)時序分析與邏輯設(shè)計

    靜態(tài)時序分析與邏輯設(shè)計
    發(fā)表于 12-08 14:49

    靜態(tài)時序分析與邏輯(華為內(nèi)部培訓(xùn)資料)

    靜態(tài)時序概念,目的 靜態(tài)時序分析路徑,方法 靜態(tài)時序
    發(fā)表于 07-09 18:28 ?130次下載

    靜態(tài)時序分析在IC設(shè)計中的應(yīng)用

    討論了靜態(tài)時序分析算法及其在IC 設(shè)計中的應(yīng)用。首先,文章討論了靜態(tài)時序分析中的偽路徑問題以及路
    發(fā)表于 12-20 11:03 ?95次下載
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>在IC設(shè)計中的應(yīng)用

    靜態(tài)時序分析基礎(chǔ)及應(yīng)用

    _靜態(tài)時序分析(Static_Timing_Analysis)基礎(chǔ)及應(yīng)用[1]。
    發(fā)表于 05-09 10:59 ?31次下載

    華為靜態(tài)時序分析與邏輯設(shè)計

    華為靜態(tài)時序分析與邏輯設(shè)計,基礎(chǔ)的資料,快來下載吧
    發(fā)表于 09-01 15:44 ?56次下載

    靜態(tài)時序分析基礎(chǔ)及應(yīng)用

    靜態(tài)時序分析基礎(chǔ)及應(yīng)用
    發(fā)表于 01-24 16:54 ?7次下載

    正點原子FPGA靜態(tài)時序分析時序約束教程

    靜態(tài)時序分析是檢查芯片時序特性的一種方法,可以用來檢查信號在芯片中的傳播是否符合時序約束的要求。相比于動態(tài)
    發(fā)表于 11-11 08:00 ?60次下載
    正點原子FPGA<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>與<b class='flag-5'>時序</b>約束教程

    華為FPGA硬件的靜態(tài)時序分析與邏輯設(shè)計

    本文檔的主要內(nèi)容詳細介紹的是華為FPGA硬件的靜態(tài)時序分析與邏輯設(shè)計包括了:靜態(tài)時序分析一概念與
    發(fā)表于 12-21 17:10 ?21次下載
    華為FPGA硬件的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>與邏輯設(shè)計

    FPGA的靜態(tài)時序分析詳細講解分析

    任何學(xué)FPGA的人都跑不掉的一個問題就是進行靜態(tài)時序分析。靜態(tài)時序分析的公式,老實說很晦澀,而且
    發(fā)表于 01-12 17:48 ?19次下載
    FPGA的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>詳細講解<b class='flag-5'>分析</b>

    解讀FPGA的靜態(tài)時序分析

    任何學(xué)FPGA的人都跑不掉的一個問題就是進行靜態(tài)時序分析。靜態(tài)時序分析的公式,老實說很晦澀,而且
    的頭像 發(fā)表于 03-14 19:10 ?732次閱讀

    靜態(tài)時序分析的基本概念和方法

    引言 在同步電路設(shè)計中,時序是一個非常重要的因素,它決定了電路能否以預(yù)期的時鐘速率運行。為了驗證電路的時序性能,我們需要進行 靜態(tài)時序分析
    的頭像 發(fā)表于 06-28 09:38 ?1381次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>的基本概念和方法

    靜態(tài)時序分析的相關(guān)概念

    ??本文主要介紹了靜態(tài)時序分析 STA。
    的頭像 發(fā)表于 07-04 14:40 ?1208次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>的相關(guān)概念