0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

EUV光刻機:ASML 2018年總銷量18臺,計劃明年30臺

漁翁先生 ? 來源:電子發(fā)燒友網(wǎng) ? 作者:尹志堅 ? 2019-01-25 14:50 ? 次閱讀

根據(jù)ASML財報顯示, 2018年Q4季EUV***設備完成5臺交付,全年EUV***設備總銷量達到18臺,并計劃2019年將完成30臺的交付量。


圖1:ASML 2014~2018財年營收對照分析

1月23日,ASML Holding N.V(ASML)發(fā)布公告稱,2018年Q4季營收創(chuàng)下了全年的新紀錄,達到31億歐元,凈收7.88億歐元,毛利率44.3%,同比增長19%,環(huán)比增長11%。ASML表示,Q4季斬獲了5份EUV訂單。

同時,根據(jù)ASML2018全年財報顯示,2018年ASML實現(xiàn)總營收109億歐元,凈收26億歐元,毛利率46%,EUV總出貨量18臺,ArFi共86臺,ArFdry共16臺,KrF共78臺,I-line共26臺。其中涉及客戶有英特爾Intel)、三星、臺積電、格芯、長江存儲、上海華虹半導體、中芯國際等。


圖2:2018財年ASML設備營收、用途、區(qū)域、數(shù)量占比情況分析

在2018年全年,ASML的ArF系列設備出貨量占總收入的58%,EUV占總收入的23%,KrF占總收入的11%,I-line占總收入的1%。其中,購買設備的廠商中有45%是用于邏輯芯片的生產(chǎn),55%是用于存儲器;美國、韓國、中國大陸及***為其主要消費客戶,分別占比16%、35%、19%、19%。


圖3:2014年~2018年ASML設備營收來源分析。

半導體界人士認為,2018年三星、臺積電創(chuàng)新推出7nm節(jié)點工藝,進一步推動EUV和沉浸式***的需求。ASML作為I-line,KrF,ArF,ArFi及EUV等設備的主要提供商,在EUV***上,幾乎是一家獨占,193nm浸沒式***占比達到90%以上,DUV***占比超50%,僅I-line由ASML、尼康、佳能均分,而目前國內(nèi)半導體晶圓廠生產(chǎn)設備主要仍需進口。

ASML總裁兼說首席執(zhí)行官Peter Wennink表示,“ASML有望在客戶最先進節(jié)點的技術轉型和生產(chǎn)能力方面進行大力投資,推動EUV和沉浸式***設備的需求。此外,我們繼續(xù)看到對中國出口的強勁需求??偟膩碚f,2019年是ASML的另一個銷售增長年,下半年與上半年相比將顯著增強。”

預計2019年Q1季度ASML預計營收約為21億歐元,毛利率約為40%。研發(fā)費用約為4.8億歐元,SG&A費用約為1.3億歐元。ASML表示,由于電子元件和模塊供應商發(fā)生火災,預計ASML的第一季度銷售將受到約3億歐元的負面影響,預計其將在第二季度大幅復蘇,其余部分預計將在第二季度恢復2019年的一半。

總體而言,ASML對2019年業(yè)績趨向樂觀,預計全年將向邏輯芯片和存儲器客戶輸送總計30臺EUV***設備,其中NXE:3400C生產(chǎn)率大幅提高超170wph(每小時生產(chǎn)超170片晶圓),計劃2019年下半年開始出貨。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關注

    關注

    452

    文章

    50016

    瀏覽量

    419747
  • 晶圓
    +關注

    關注

    52

    文章

    4785

    瀏覽量

    127590
  • ASML
    +關注

    關注

    7

    文章

    714

    瀏覽量

    41106
  • EUV光刻機
    +關注

    關注

    2

    文章

    128

    瀏覽量

    15075
收藏 人收藏

    評論

    相關推薦

    光刻機巨頭ASML業(yè)績暴雷,芯片迎來新一輪“寒流”?

    電子發(fā)燒友網(wǎng)報道(文/黃山明)作為芯片制造過程中的核心設備,光刻機決定著芯片工藝的制程。尤其是EUV光刻機已經(jīng)成為高端芯片(7nm及以下)芯片量產(chǎn)的關鍵,但目前EUV
    的頭像 發(fā)表于 10-17 00:13 ?2406次閱讀

    ASML擬于2030推出Hyper-NA EUV光刻機,將芯片密度限制再縮小

    ASML再度宣布新光刻機計劃。據(jù)報道,ASML預計2030推出的Hyper-NA極紫外光
    的頭像 發(fā)表于 06-18 09:57 ?395次閱讀

    積電都嫌貴的光刻機,大力推玻璃基板,英特爾代工的野心和危機

    電子發(fā)燒友網(wǎng)報道(文/吳子鵬)此前,積電高級副總裁張曉強在技術研討會上表示,“ASML最新的高數(shù)值孔徑極紫外光刻機(high-NA EUV)價格實在太高了,
    的頭像 發(fā)表于 05-27 07:54 ?2373次閱讀

    后門!ASML可遠程鎖光刻機

    來源:國芯網(wǎng),謝謝 編輯:感知芯視界 Link 5月22日消息,據(jù)外媒報道,積電從ASML購買的EUV極紫外光刻機,暗藏后門,可以在必要的時候執(zhí)行遠程鎖定! 據(jù)《聯(lián)合早報》報道,荷蘭
    的頭像 發(fā)表于 05-24 09:35 ?451次閱讀

    荷蘭阿斯麥稱可遠程癱瘓積電光刻機

    disable)積電相應機器,而且還可以包括最先進的極紫外光刻機EUV)。 這就意味著阿斯麥(ASML)留了后門,隨時有能力去遠程癱瘓制造芯片的
    的頭像 發(fā)表于 05-22 11:29 ?5679次閱讀

    積電A16制程采用EUV光刻機,2026下半年量產(chǎn)

    據(jù)臺灣業(yè)內(nèi)人士透露,積電并未為A16制程配備高數(shù)值孔徑(High-NA)EUV光刻機,而選擇利用現(xiàn)有的EUV光刻機進行生產(chǎn)。相較之下,英特
    的頭像 發(fā)表于 05-17 17:21 ?808次閱讀

    積電張曉強:ASML High-NA EUV成本效益是關鍵

    據(jù)今年2月份報道,荷蘭半導體制造設備巨頭ASML公布了High-NA Twinscan EXE光刻機的售價,高達3.5億歐元(約合27.16億元人民幣)。而現(xiàn)有EUV光刻機的價格則為1
    的頭像 發(fā)表于 05-15 14:42 ?544次閱讀

    ASML發(fā)貨第二High NA EUV光刻機,已成功印刷10nm線寬圖案

    ASML公司近日宣布發(fā)貨了第二High NA EUV光刻機,并且已成功印刷出10納米線寬圖案,這一重大突破標志著半導體制造領域的技術革新向前邁進了一大步。
    的頭像 發(fā)表于 04-29 10:44 ?721次閱讀

    英特爾突破技術壁壘:首臺商用High NA EUV光刻機成功組裝

    英特爾的研發(fā)團隊正致力于對這臺先進的ASML TWINSCAN EXE:5000 High NA EUV光刻機進行細致的校準工作,以確保其能夠順利融入未來的生產(chǎn)線。
    的頭像 發(fā)表于 04-22 15:52 ?822次閱讀

    光刻機巨頭阿斯麥業(yè)績爆雷 ASML公司一季度訂單下滑

    光刻機巨頭阿斯麥業(yè)績爆雷 ASML公司一季度訂單下滑 光刻機巨頭阿斯麥業(yè)績爆雷了,阿斯麥(ASML)在4月17日披露的一季度訂單遠低于市場預期,這使得阿斯麥(
    的頭像 發(fā)表于 04-18 16:43 ?1136次閱讀

    阿斯麥(ASML)公司首臺高數(shù)值孔徑EUV光刻機實現(xiàn)突破性成果

    )光刻機,并已經(jīng)成功印刷出首批圖案。這一重要成就,不僅標志著ASML公司技術創(chuàng)新的新高度,也為全球半導體制造行業(yè)的發(fā)展帶來了新的契機。目前,全球僅有兩高數(shù)值孔徑EUV
    的頭像 發(fā)表于 04-18 11:50 ?756次閱讀
    阿斯麥(<b class='flag-5'>ASML</b>)公司首臺高數(shù)值孔徑<b class='flag-5'>EUV</b><b class='flag-5'>光刻機</b>實現(xiàn)突破性成果

    ASML 首臺新款 EUV 光刻機 Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機制造商 ASML 宣布其首臺新款 EUV 光刻機 Twinscan NXE:3800E 已完成安裝,新機型將帶來更高的生產(chǎn)效率。 ▲
    的頭像 發(fā)表于 03-14 08:42 ?473次閱讀
    <b class='flag-5'>ASML</b> 首臺新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻機</b> Twinscan NXE:3800E 完成安裝

    光刻機巨頭ASML要搬離荷蘭?

    據(jù)荷蘭《電訊報》3月6日報道,因荷蘭政府的反移民政策傾向,光刻機巨頭阿斯麥(ASML)正計劃搬離荷蘭。
    的頭像 發(fā)表于 03-08 14:02 ?1065次閱讀

    三星清空ASML股份,11盈利超16倍

    根據(jù)資料顯示,在2012,為了支持ASML EUV光刻機的研發(fā)與商用,并獲得EUV光刻機的優(yōu)先
    的頭像 發(fā)表于 02-23 17:27 ?923次閱讀

    三星希望進口更多ASML EUV***,5內(nèi)新增50

    EUV曝光是先進制程芯片制造中最重要的部分,占據(jù)時間、總成本的一半以上。由于這種光刻機極為復雜,因此ASML每年只能制造約60,而全球5
    的頭像 發(fā)表于 11-22 16:46 ?673次閱讀