0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

正點原子FPGA實戰(zhàn)篇:VGA方塊移動實驗

電子硬件DIY視頻 ? 來源:電子硬件DIY視頻 ? 2019-09-04 06:11 ? 次閱讀

VGA顯示對數模轉換DAC有如下要求:一是高速轉換,轉換的速度應該在80MHz或以上;二是同步性好,能保證 R、G、B三路信號的同步性;三是有相應的精度??蛇x擇一種包括3路8位高速D/A的專用視頻芯片。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21539

    瀏覽量

    600479
  • dac
    dac
    +關注

    關注

    43

    文章

    2250

    瀏覽量

    190633
  • VGA
    VGA
    +關注

    關注

    5

    文章

    520

    瀏覽量

    62708
收藏 人收藏

    評論

    相關推薦

    《HELLO+FPGA》-+項目實戰(zhàn)篇

    《HELLO+FPGA》-+項目實戰(zhàn)篇
    發(fā)表于 09-27 10:08

    正點原子開拓者FPGA開發(fā)板資料連載第十九章 VGA方塊移動實驗

    1)實驗平臺:正點原子開拓者FPGA 開發(fā)板2)摘自《開拓者FPGA開發(fā)指南》關注官方微信號公眾號,獲取更多資料:
    發(fā)表于 08-03 15:16

    正點原子FPGA實戰(zhàn)篇:音頻環(huán)回實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-05 06:06 ?2928次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:音頻環(huán)回<b class='flag-5'>實驗</b>

    正點原子FPGA實戰(zhàn)篇:基于以太網的板對板音頻互傳實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-05 06:05 ?2695次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:基于以太網的板對板音頻互傳<b class='flag-5'>實驗</b>

    正點原子FPGA實戰(zhàn)篇VGA彩條顯示實驗(2)

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:12 ?2094次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:<b class='flag-5'>VGA</b>彩條顯示<b class='flag-5'>實驗</b>(2)

    正點原子FPGA實戰(zhàn)篇:串口通信(3)

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:05 ?3216次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:串口通信(3)

    正點原子FPGA實戰(zhàn)篇VGA彩條顯示實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:10 ?3451次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:<b class='flag-5'>VGA</b>彩條顯示<b class='flag-5'>實驗</b>

    正點原子FPGA實戰(zhàn)篇:RGB TFT-LCD彩條顯示實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:09 ?4234次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:RGB TFT-LCD彩條顯示<b class='flag-5'>實驗</b>

    正點原子FPGA實戰(zhàn)篇VGA字符顯示實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:08 ?2508次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:<b class='flag-5'>VGA</b>字符顯示<b class='flag-5'>實驗</b>

    正點原子FPGA實戰(zhàn)篇VGA圖片顯示實驗(基于ROM)

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:07 ?2883次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:<b class='flag-5'>VGA</b>圖片顯示<b class='flag-5'>實驗</b>(基于ROM)

    正點原子FPGA實戰(zhàn)篇:串口通信(2)

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:04 ?3522次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:串口通信(2)

    正點原子FPGA實戰(zhàn)篇:串口通信(1)

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:03 ?4014次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:串口通信(1)

    正點原子FPGA實戰(zhàn)篇:基于FFT-IP核的音頻頻譜儀實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-05 06:00 ?3932次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:基于FFT-IP核的音頻頻譜儀<b class='flag-5'>實驗</b>

    正點原子FPGA實戰(zhàn)篇:RGB TFT-LCD字符顯示實驗

    正點原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:06 ?6659次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>實戰(zhàn)篇</b>:RGB TFT-LCD字符顯示<b class='flag-5'>實驗</b>

    正點原子FPGA連載】第二十五章HDMI方塊移動實驗 -摘自【正點原子】新起點之FPGA開發(fā)指南_V2.1

    正點原子FPGA連載】第二十五章HDMI方塊移動實驗 -摘自【
    發(fā)表于 11-24 14:36 ?13次下載
    【<b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第二十五章HDMI<b class='flag-5'>方塊</b><b class='flag-5'>移動</b><b class='flag-5'>實驗</b> -摘自【<b class='flag-5'>正點</b><b class='flag-5'>原子</b>】新起點之<b class='flag-5'>FPGA</b>開發(fā)指南_V2.1