0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

干貨 | 如何用Allegro繪制PCB?

lPCU_elecfans ? 來源:YXQ ? 2019-06-10 14:04 ? 次閱讀

在開始使用allegro繪制PCB之前,我們先來了解一下單位換算:

1mil = 0.0254 mm

1mm = 39.3701 mil

默認(rèn)情況下我們更傾向于使用mil單位繪制PCB板。

使用allegro畫PCB的基本流程如下:

1 新建工程,F(xiàn)ile --》 New.。。

--》 [Project Directory]顯示工程路徑

--》 [Drawing Name]工程名稱,Browse.。??蛇x擇工程路徑

--》[Drawing Type]工程類型,繪制PCB板選擇Board,封裝選擇Packagesymbol

2 設(shè)置畫布參數(shù),Setup --》 Design Parameters.。。

--》 [Design]

單位為Mils,Size為other,2位精度,

Width與Height分別代表畫布的寬高

LeftX與LowerY代表原點(diǎn)位置坐標(biāo)

點(diǎn)擊Apply使修改生效

--》 [Display]

勾選Gridon, 打開SetupGrids.。。

將Non-Etch和AllEtch中的所有Spacing設(shè)為1mil=0.0254mm

3 設(shè)置庫路徑,Setup --》 User Preference.。。

將所有繪制好的元件封裝復(fù)制到同一目錄下,方便設(shè)置庫目錄,

--》 [Paths]

--》 [Library]指定modulepathpadpath parampath psmpath到封裝所在目錄

4 繪制板框,Add --》 Line

Class:SubClass = Board Geometry:Outline

5 倒角,Manufacture --》Dimimension/Draft --》 fillet

倒角半徑(Radius)參考:100mmx100mm板倒角100mil~200mil

分別點(diǎn)擊倒角的兩條邊完成倒角

6 設(shè)置允許布線區(qū),Setup --》 Areas --》 RouteKeepin

Class:SubClass = Route Keepin:All

一般情況,RouteKeepin距離板框0.2mm(8mil)~0.5mm(20mil)

方法2:使用Z-Copy命令,Edit-Z-Copy

選擇Class:SubClass=RouteKeepin:All,

Size選擇Contract向內(nèi)縮進(jìn),Offset填充20mil,

點(diǎn)擊板框完成復(fù)制,此方法亦使用步驟7

7 設(shè)置允許元件擺放區(qū),Setup --》 Areas --》 PackageKeepin

Class:SubClass = Package Keepin:All

一般情況,PacakgeKeepin與RouteKeepin大小一致

方法2:使用Z-Copy命令

8 放置機(jī)械安裝孔,Place --》 Manual

--》 [Advanced Settings] 勾選Library

--》 [Placement List]

--》 [Mechanical symbols] 選上需要使用的機(jī)械安裝孔,敲坐標(biāo)放置

注:使用“選擇多個(gè)元件,右鍵Align components”對(duì)齊元件。

9 設(shè)置層疊結(jié)構(gòu),Setup --》 Cross-section

雙層板按默認(rèn)設(shè)置,從上到下依次為:表層空氣,銅走線Top層,玻璃纖維介質(zhì)層,銅走線Bottom層,底層空氣

多層板需要做相關(guān)層添加[FIXME]

10 導(dǎo)入網(wǎng)表, File --》 Import --》Logic.。。

--》 [Cadence

選擇Designentry CIS(Capture),Always,Importdirectory選擇網(wǎng)表文件路徑

導(dǎo)入完成后File--》 Viewlog.。。查看導(dǎo)入錯(cuò)誤信息,確保0 errors,0warnings

11 放置元器件,Place --》 QuickPlace.。。

選擇Placeall components,點(diǎn)擊place完成自動(dòng)放置

檢查Unpalcedsymbol count顯示狀態(tài),確認(rèn)未放置的元件為0

注:有關(guān)元器件突出板框外的KC DRC問題 《--- 刪除該DRC

Display --》 Waive DRCs --》 Waive命令,點(diǎn)擊DRC刪除即可。

12 約束設(shè)置,Setup --》 Constraints --》Constraints Manager.。。

--》 [Physical]

--》 [Physical Constraint Set]

--》 [All Layers]

線寬設(shè)置為》=6mil,添加過孔(小于6的非0值都設(shè)為6或更大)

--》 [Net]

--》 [All Layers]

電源與地網(wǎng)絡(luò)設(shè)置至少30mil,大功率大電流網(wǎng)絡(luò)也設(shè)置大些

--》 [Spacing]

。。. 設(shè)置線間距、VIA間距等,都至少設(shè)為6mil,6mil是根據(jù)PCB廠家定的

13 布局布線

接插件(如DB9、JTAG接口、電源接口等)放在PCB板周邊;

。。。

布線時(shí)雙擊添加過孔,Options中Act可改變當(dāng)前PCB面,Linewidth設(shè)置線寬;

[Route] --》 [PCB Router] --》 [Route Automatic…]可自動(dòng)布線;

。。。

14 添加絲印

(1)自動(dòng)添加絲印

Manufacture --》 Silkscreen

--》 [Layer] Both

--》 [Elements] Both

--》 [Classes and subclasses]

--》 [Package geometry] Silk

--》 [Refrence designator] Silk

。。. 其它選擇None

點(diǎn)擊Silkscreen完成絲印添加

(2)手動(dòng)添加絲印信息

--》 Add --》 Text

Class:Subclass=Manufacture:AutoSilk_Top

設(shè)置字號(hào)及線寬后輸入文字信息

注:絲印字號(hào)修改,Edit--》 Change,F(xiàn)ind中選只Text,

Class:subclass=Manufacture:空

設(shè)置字號(hào)線寬,全選后Done即可

15 添加覆銅,Shape --》 Polygon

Class:Subclass=Etch:Top

Option中勾選上CreateDinamic Shape,選擇Assign netname為Gnd網(wǎng)絡(luò)

添加底層覆銅,Class:Subclass=Etch:Bottom

刪除頂層和底層死銅,Shape--》 Delete Islands,Delete allon layer

16 查看報(bào)告,Tools --》 Quick Reports

至少檢查如下4項(xiàng):

Unconnected Pins Report

Shape Dynamic State

Shape Islands

Design Rules Check Report

17 數(shù)據(jù)庫檢查,Tools --》 Database Check

勾選全3項(xiàng),點(diǎn)擊Check檢查,Viewlog查看錯(cuò)誤日志

18 鉆孔文件生成

(1) 鉆孔參數(shù)文件生成,Manufacture--》 NC --》 NC Parameters

按默認(rèn)設(shè)置,點(diǎn)close后生成nc_param.txt

(2) 鉆孔文件生成,Manufacture--》 NC --》 NC Drill

如果有盲孔或埋孔,則Drilling中選擇By Layer,否則默認(rèn),

點(diǎn)Drill生成*.drl文件,點(diǎn)擊Viewlog查看鉆孔文件信息

(3) 不規(guī)則孔的鉆孔文件生成,Manufacture--》 NC --》 NC Route

默認(rèn)設(shè)置,點(diǎn)擊Route生成*.rou文件

(4) 鉆孔表及鉆孔圖的生成,Manufacture--》 NC --》 Drill Legend

如果有盲孔或埋孔,則Drilling中選擇By Layer,否則默認(rèn)(單位為mil),

點(diǎn)擊OK生成*.dlt文件

19 生成光繪(Gerber)文件

(1) 設(shè)置光繪文件參數(shù),Manufacture--》 Artwork

--》 [General Parameters]

--》 [Device type] Gerber RS274X

--》 [OUtput units] Inches

--》 [Format]

--》 [Integer places] 3

--》 [Decimal places] 5

--》 [Film Control] 設(shè)置層疊結(jié)構(gòu)(10層)

--》[Available films]

--》 [Bottom]

--》 ETCH/Bottom

--》 PIN/Bottom

--》 VIA Class/Bottom

--》 [Top]

--》 ETCH/Top

--》 PIN/Top

--》 VIA Class/Top

--》 [Pastemask_Bottom]

--》 PackageGeometry/Pastemask_Bottom

--》Stack-Up/Pin/Pastemask_Bottom

--》Stack-Up/Via/Pastemask_Bottom

--》 [Pastemask_Top]

--》 PackageGeometry/Pastemask_Top

--》Stack-Up/Pin/Pastemask_Top

--》Stack-Up/Via/Pastemask_Top

--》 [Soldermask_Bottom]

--》 Board Geometry/Soldermask_Bottom

--》 PackageGeometry/Soldermask_Bottom

--》Stack-Up/Pin/Soldermask_Bottom

--》 [Soldermask_Top]

--》 BoardGeometry/Soldermask_Top

--》 Package Geometry/Soldermask_Top

--》Stack-Up/Pin/Soldermask_Top

--》 [Silkscreen_Bottom]

--》 BoardGeometry/Silkscreen_Bottom

--》 PackageGeometry/Silkscreen_Bottom

--》Manufacture/Autosilk_Bottom

--》 [Silkscreen_Top]

--》 BoardGeometry/Silkscreen_Top

--》 PackageGeometry/Silkscreen_Top

--》Manufacture/Autosilk_Top

--》 [Outline]

--》 Board Geometry/Outline

--》 [Drill]

--》 Board Geometry/Outline

--》Manufacture/Nclegend-1-2

選中Checkdatabase before artwork復(fù)選框!

--》 [Film options]

--》 [Undefined line width]

選中層疊結(jié)構(gòu)中的每一層,都設(shè)置為6mil

--》 [Shape bounding box]

選中層疊結(jié)構(gòu)中的每一層,都設(shè)置為100

--》 [plot mode]

選中層疊結(jié)構(gòu)中的每一層,無特殊情況都選擇Positive

--》 [Vector based pad behavior] 選中每一層都勾選上

點(diǎn)擊OK完成參數(shù)設(shè)置

(2) 生成光繪文件,Manufacture--》 Artwork

仔細(xì)檢查層疊結(jié)構(gòu)的設(shè)置,很重要,不能出錯(cuò)!

Select all選擇所有層,確認(rèn)選中Check database before artwork,

執(zhí)行CreateArtwork生成光繪文件,點(diǎn)擊Viewlog查看生成光繪信息,確保沒有任何error!

20 打包Gerber文件給PCB廠商

共14個(gè)文件:10{*.art}+ 1{*.drl} + 1{*.rou} + 2{*.txt}

TOP.art

Bottom.art

Pastemask_Top.art

Pastemask_Bottom.art

Soldermask_Top.art

Soldermask_Bottom.art

Silkscreen_Top.art

silkscreen_Bottom.art

Outline.art

Drill.art

art_param.txt

nc_param.txt

*.rou

*-1-2.drl

打包成*.rar等壓縮包發(fā)給廠商

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • pcb
    pcb
    +關(guān)注

    關(guān)注

    4308

    文章

    22858

    瀏覽量

    394890
  • PCB設(shè)計(jì)
    +關(guān)注

    關(guān)注

    394

    文章

    4659

    瀏覽量

    84951
  • allegro
    +關(guān)注

    關(guān)注

    42

    文章

    645

    瀏覽量

    144782
  • 可制造性設(shè)計(jì)

    關(guān)注

    10

    文章

    2064

    瀏覽量

    15424
  • 華秋DFM
    +關(guān)注

    關(guān)注

    20

    文章

    3492

    瀏覽量

    4300

原文標(biāo)題:如何用Allegro繪制PCB?很實(shí)用的學(xué)習(xí)筆記,趕緊get起來!

文章出處:【微信號(hào):elecfans,微信公眾號(hào):電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    通過Allegro軟件繪制PCB封裝的步驟說明

    Allegro軟件繪制PCB封裝,比其它EDA軟件相對(duì)于復(fù)雜一些,步驟更多一些,我們這里簡單的列一下通過Allegro軟件繪制
    發(fā)表于 10-12 11:06 ?1w次閱讀

    北京科技大學(xué)——Allegro繪制PCB流程及規(guī)范

    北京科技大學(xué)——Allegro繪制PCB流程及規(guī)范
    發(fā)表于 01-23 11:29

    干貨滿滿的4層項(xiàng)目原理圖PCB視頻(Allegro+PADS+AD)+配套練習(xí)文件!網(wǎng)盤地址

    干貨滿滿的4層項(xiàng)目原理圖PCB視頻(Allegro+PADS+AD)+配套練習(xí)文件!學(xué)完輕松掌握Allegro/PADS/AD 3款常用軟件,可以做小項(xiàng)目。網(wǎng)盤地址鏈接:https:/
    發(fā)表于 02-29 11:45

    使用Cadence Allegro繪制DragonFly四軸飛行器

    最近在學(xué)習(xí)小馬哥的Cadence課程,該系列課程為學(xué)習(xí)筆記:使用Cadence Allegro繪制小馬哥DragonFly四軸飛行器(STM32F4主控)PCB四層板教程。1. 準(zhǔn)備工作
    發(fā)表于 11-25 08:02

    何用Altium Designer繪制stm32原理圖及PCB板?

    何用Altium Designer繪制stm32原理圖及PCB板?
    發(fā)表于 12-15 07:05

    Allegro PCB Design

    Allegro PCB Design
    發(fā)表于 10-16 09:37 ?0次下載

    Allegro PCB SI L XL /ALLEGRO P

    ALLEGRO PCB SI L, XLALLEGRO PCB PI OPTION XLCadence Allegro PCB SI off
    發(fā)表于 10-16 09:45 ?0次下載

    ALLEGRO PCB LIBRARIAN 610

    ALLEGRO PCB LIBRARIAN 610AUTOMATED LIBRARY PART CREATION AND VALIDATIONCadence Allegro PCB
    發(fā)表于 10-16 09:55 ?0次下載

    Allegro PCB設(shè)計(jì)流程一

    Allegro PCB設(shè)計(jì)流程一 Allegro PCB SI  的設(shè)計(jì)流程包括如下六個(gè)步驟:  Pre-Placement &nbs
    發(fā)表于 11-18 10:17 ?2706次閱讀

    何用Word調(diào)用Protel For DOS繪制的圖形

    何用Word調(diào)用Protel For DOS繪制的圖形 撰寫科技文章時(shí),常常需要插入一些電路原理圖,如果這些原理圖用Word自帶的畫圖工具繪制
    發(fā)表于 03-09 13:40 ?1386次閱讀

    AD PCB封裝轉(zhuǎn)Allegro封裝或者AD PCB轉(zhuǎn)Allegro PCB

    AD封裝轉(zhuǎn)ALLEGRO封裝時(shí),要把所有封裝放到一張PCB上或者分批次的放到PCB上,把PCB轉(zhuǎn)成ALLEGRO格式的,然后再用
    的頭像 發(fā)表于 04-05 17:06 ?4.9w次閱讀
    AD <b class='flag-5'>PCB</b>封裝轉(zhuǎn)<b class='flag-5'>Allegro</b>封裝或者AD <b class='flag-5'>PCB</b>轉(zhuǎn)<b class='flag-5'>Allegro</b> <b class='flag-5'>PCB</b>

    PCB設(shè)計(jì)之Allegro軟件問題

    Allegro是Cadence推出的先進(jìn) PCB 設(shè)計(jì)布線工具,也是目前最高端、最主流的PCB軟件代表之一,華為、中興這類大型公司使用的也是Allegro。
    的頭像 發(fā)表于 10-11 16:40 ?9229次閱讀
    <b class='flag-5'>PCB</b>設(shè)計(jì)之<b class='flag-5'>Allegro</b>軟件問題

    Allegro軟件繪制PCB封裝詳細(xì)步驟解析

    Allegro軟件繪制PCB封裝,比其它EDA軟件相對(duì)于復(fù)雜一些,步驟更多一些,我們這里簡單的列一下通過Allegro軟件繪制
    的頭像 發(fā)表于 10-15 09:41 ?3.5w次閱讀
    <b class='flag-5'>Allegro</b>軟件<b class='flag-5'>繪制</b>的<b class='flag-5'>PCB</b>封裝詳細(xì)步驟解析

    基于AD繪制PCB的詳細(xì)過程

    簡明扼要的展示了使用Altium Designer繪制PCB的詳細(xì)過程并包含原理圖庫PCB庫的繪制
    發(fā)表于 12-07 09:11 ?0次下載

    Allegro常用的PCB封裝

    Allegro常用的PCB封裝介紹。
    發(fā)表于 06-06 14:31 ?0次下載