0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

用Tcl定制Vivado設(shè)計(jì)流程詳解

Xilinx賽靈思官微 ? 來源:djl ? 作者:賽靈思 ? 2019-07-24 17:30 ? 次閱讀

基本的FPGA設(shè)計(jì)實(shí)現(xiàn)流程

FPGA的設(shè)計(jì)流程簡(jiǎn)單來講,就是從源代碼到比特流文件的實(shí)現(xiàn)過程。大體上跟IC設(shè)計(jì)流程類似,可以分為前端設(shè)計(jì)和后端設(shè)計(jì)。其中前端設(shè)計(jì)是把源代碼綜合為對(duì)應(yīng)的門級(jí)網(wǎng)表的過程,而后端設(shè)計(jì)則是把門級(jí)網(wǎng)表布局布線到芯片上最終實(shí)現(xiàn)的過程。

以下兩圖分別表示ISE和Vivado的基本設(shè)計(jì)流程:

用Tcl定制Vivado設(shè)計(jì)流程詳解

ISE中設(shè)計(jì)實(shí)現(xiàn)的每一步都是相對(duì)獨(dú)立的過程,數(shù)據(jù)模型各不相同,用戶需要維護(hù)不同的輸入文件,例如約束等,輸出文件也不是標(biāo)準(zhǔn)網(wǎng)表格式,并且形式各異,導(dǎo)致整體運(yùn)行時(shí)間過長(zhǎng),冗余文件較多。

Vivado中則統(tǒng)一了約束格式和數(shù)據(jù)模型,在設(shè)計(jì)實(shí)現(xiàn)的任何一個(gè)階段都支持XDC約束,可以生成時(shí)序報(bào)告,在每一步都能輸出包含有網(wǎng)表、約束以及布局布線信息(如果有)的設(shè)計(jì)檢查點(diǎn)(DCP)文件,大大縮短了運(yùn)行時(shí)間。

從使用方式上來講,Vivado支持工程模式(Project Based Mode)和非工程模式(None Project Mode)兩種,且都能通過Tcl 腳本批處理運(yùn)行,或是在Vivado 圖形化界面IDE 中交互運(yùn)行和調(diào)試。

工程模式

工程模式的關(guān)鍵優(yōu)勢(shì)在于可以通過在Vivado 中創(chuàng)建工程的方式管理整個(gè)設(shè)計(jì)流程,包括工程文件的位置、階段性關(guān)鍵報(bào)告的生成、重要數(shù)據(jù)的輸出和存儲(chǔ)等。

如下左圖所示,用戶建立了一個(gè)Vivado工程后,工具會(huì)自動(dòng)創(chuàng)建相應(yīng)的.xpr工程文件,并在工程文件所在的位置同層創(chuàng)建相應(yīng)的幾個(gè)目錄,包括.cache、.data、.runs和.srcs等等(不同版本可能有稍許差異),分別用于存儲(chǔ)運(yùn)行工程過程中產(chǎn)生的數(shù)據(jù)、輸出的文件和報(bào)告以及工程的輸入源文件(包含約束文件)等。

如下右圖所示,在Vivado IDE 中還可以一鍵式運(yùn)行整個(gè)設(shè)計(jì)流程。這些預(yù)置的命令按鈕就放置在工具最左邊的側(cè)欄:Flow Navigator 。不同按鈕對(duì)應(yīng)不同的實(shí)現(xiàn)過程,其中在后端實(shí)現(xiàn)階段,還可以用右鍵調(diào)出詳細(xì)分步命令,指引工具具體執(zhí)行實(shí)現(xiàn)的哪一步。

用Tcl定制Vivado設(shè)計(jì)流程詳解

特別需要指出的是 Flow Navigator只有在Vivado IDE中打開 .xpr 工程文件才會(huì)顯示,如果打開的是設(shè)計(jì)檢查點(diǎn) .dcp 文件(不論是工程模式或是非工程模式產(chǎn)生的dcp)都不會(huì)顯示這個(gè)側(cè)欄。

非工程模式

非工程模式下,由于不會(huì)創(chuàng)建工程,用戶就需要自己管理設(shè)計(jì)源文件和設(shè)計(jì)過程。源文件只能從當(dāng)前位置訪問,在設(shè)計(jì)實(shí)現(xiàn)過程中的每一步,數(shù)據(jù)和運(yùn)行結(jié)果都存在于Vivado分配到的機(jī)器內(nèi)存中,在用戶不主動(dòng)輸出的情況下,不會(huì)存儲(chǔ)到硬盤中。

簡(jiǎn)單來講,非工程模式提供了一種類似ASIC設(shè)計(jì)的流程,用戶擁有絕對(duì)的自由,可以完全掌控設(shè)計(jì)實(shí)現(xiàn)流程,但也需要用戶對(duì)設(shè)計(jì)實(shí)現(xiàn)的過程和數(shù)據(jù),尤其對(duì)文件輸出和管理全權(quán)負(fù)責(zé),包括何時(shí)、何地、輸出怎樣的文件等等。

用Tcl定制Vivado設(shè)計(jì)流程詳解

使用非工程模式管理輸入輸出文件、進(jìn)行設(shè)計(jì)實(shí)現(xiàn)都需要使用Tcl腳本,但這并不代表非工程模式不支持圖形化界面。非工程模式下產(chǎn)生的.dcp文件一樣可以在Vivdao IDE中打開,繼而產(chǎn)生各種報(bào)告,進(jìn)行交互式調(diào)試等各種在圖形化下更便捷直觀的操作。這是一個(gè)常見誤區(qū),就像很多人誤認(rèn)為工程模式下不支持Tcl腳本運(yùn)行是一個(gè)道理。但兩種模式支持的Tcl命令確實(shí)是完全不同的,使用起來也不能混淆。

下圖所示是同一個(gè)設(shè)計(jì)(Vivado自帶的Example Design)采用兩種模式實(shí)現(xiàn)所需使用的不同腳本,更詳細(xì)的內(nèi)容可以在UG975和UG835中找到。需要注意的是,工程模式下的Tcl腳本更簡(jiǎn)潔,但并不是最底層的Tcl命令,實(shí)際執(zhí)行一條相當(dāng)于執(zhí)行非工程模式下的數(shù)條Tcl命令。

Vivado支持的兩種Tcl腳本

用Tcl定制Vivado設(shè)計(jì)流程詳解

Tcl對(duì)圖形化的補(bǔ)充

相信對(duì)大部分FPGA工程設(shè)計(jì)人員來說,圖形化界面仍舊是最熟悉的操作環(huán)境,也是設(shè)計(jì)實(shí)現(xiàn)的首選。在Xilinx推出全面支持Tcl的Vivado后,這一點(diǎn)依然沒有改變,但我們要指出的是,即使是在圖形化界面上跑設(shè)計(jì),仍然可以充分利用Tcl的優(yōu)勢(shì)。在Vivado IDE 上運(yùn)行Tcl腳本主要有以下幾個(gè)渠道。

Tcl Console

Vivado IDE的最下方有一個(gè)Tcl Console,在運(yùn)行過程中允許用戶輸入Tcl/XDC命令或是source預(yù)先寫好的Tcl腳本,返回值會(huì)即時(shí)顯示在這個(gè)對(duì)話框。

用Tcl定制Vivado設(shè)計(jì)流程詳解

舉例來說,設(shè)計(jì)調(diào)試過程中,需要將一些約束應(yīng)用在某些網(wǎng)表目標(biāo)上(具體可參照《Tcl在Vivado中的應(yīng)用》所示),推薦的做法就是在IDE中打開.dcp然后在Tcl Console中輸入相應(yīng)的Tcl/XDC命令,驗(yàn)證返回值,碰到問題可以直接修改,直到找到正確合適的命令。然后可以記錄這些命令,并存入XDC文件中以備下次實(shí)現(xiàn)時(shí)使用。

還有一種情況是,預(yù)先讀入的XDC中有些約束需要修改,或是缺失了某些重要約束。不同于ISE中必須修改UCF重跑設(shè)計(jì)的做法,在Vivado中,我們可以充分利用Tcl/XDC的優(yōu)勢(shì), 在Tcl Console中輸入新的Tcl/XDC,無需重跑設(shè)計(jì),只要運(yùn)行時(shí)序報(bào)告來驗(yàn)證。當(dāng)然,如果能重跑設(shè)計(jì),效果會(huì)更好,但是這種方法在早期設(shè)計(jì)階段提供了一種快速進(jìn)行交互式驗(yàn)證的可能,保證了更快地設(shè)計(jì)迭代,大大提升了效率。

另外,通過某些Tcl命令(例如show_objects、select_objects等等)的幫助,我們還可以利用Tcl Console與時(shí)序報(bào)告、RTL和門級(jí)網(wǎng)表以及布局布線后的網(wǎng)表之間進(jìn)行交互調(diào)試,極大發(fā)揮Vivado IDE的優(yōu)勢(shì)。

Hook Scripts

Vivado IDE中內(nèi)置了tcl.pre和tcl.post,用戶可以在Synthesis和Implementation的設(shè)置窗口中找到。設(shè)計(jì)實(shí)現(xiàn)的每一步都有這樣兩個(gè)位置可供用戶加入自己的Tcl腳本。

用Tcl定制Vivado設(shè)計(jì)流程詳解

tcl.pre 表示當(dāng)前這步之前Vivado會(huì)主動(dòng)source的Tcl腳本,tcl.post 表示這步之后會(huì)source的腳本。Tcl腳本必須事先寫好,然后在上圖所示的設(shè)置界面由用戶使用彈出窗口指定到腳本所在位置。

這些就是所謂的“鉤子”腳本,正是有了這樣的腳本,我們才得以在圖形化界面上既享有一鍵式執(zhí)行的便利,又充分利用Tcl帶來的擴(kuò)展性。比較常見的使用場(chǎng)景是,在某個(gè)步驟后多產(chǎn)生幾個(gè)特別的報(bào)告,或是在布線前再跑幾次物理優(yōu)化等。

Customer Commands

Vivado IDE中還有一個(gè)擴(kuò)展功能,允許用戶把事先創(chuàng)建好的Tcl腳本以定制化命令的方式加入圖形化界面,成為一個(gè)按鈕,方便快速執(zhí)行。這個(gè)功能常常用來報(bào)告特定的時(shí)序信息、修改網(wǎng)表內(nèi)容、實(shí)現(xiàn)ECO等等。


用Tcl定制Vivado設(shè)計(jì)流程詳解

用Tcl定制實(shí)現(xiàn)流程

綜上所述,標(biāo)準(zhǔn)的FPGA設(shè)計(jì)實(shí)現(xiàn)流程完全可以通過Vivado IDE一鍵式執(zhí)行,如果僅需要少量擴(kuò)展,通過前述鉤子腳本等幾種方法也完全可以做到。若是這些方法都不能滿足需求,還可以使用Tcl腳本來跑設(shè)計(jì),從而實(shí)現(xiàn)設(shè)計(jì)流程的全定制。

注:以下討論的幾種實(shí)現(xiàn)方案中僅包含后端實(shí)現(xiàn)具體步驟的區(qū)別,而且只列出非工程模式下對(duì)應(yīng)的Tcl命令。

下圖所示是Vivado中設(shè)計(jì)實(shí)現(xiàn)的基本流程,藍(lán)色部分表示實(shí)現(xiàn)的基本步驟(盡管 opt_design 這一步理論上不是必選項(xiàng),但仍強(qiáng)烈建議用戶執(zhí)行),對(duì)應(yīng)Implementation的Default策略。黃色部分表示可選擇執(zhí)行的部分,不同的實(shí)現(xiàn)策略中配置不同。

用Tcl定制Vivado設(shè)計(jì)流程詳解

這里不會(huì)討論那些圖形化界面中可選的策略,不同策略有何側(cè)重,具體如何配置我們將在另外一篇關(guān)于Vivado策略選擇的文章中詳細(xì)描述。我們要展示的是如何對(duì)設(shè)計(jì)流程進(jìn)行改動(dòng)來更好的滿足設(shè)計(jì)需求,這些動(dòng)作往往只能通過Tcl腳本來實(shí)現(xiàn)。

充分利用物理優(yōu)化

物理優(yōu)化即 phys_opt_design 是在后端通過復(fù)制、移動(dòng)寄存器來降扇出和retiming,從而進(jìn)行時(shí)序優(yōu)化的重要手段,一般在布局和布線之間運(yùn)行,從Vivado 2014.1開始,還支持布局后的物理優(yōu)化。

很多用戶會(huì)在Vivado中選中phys_opt_design,但往往不知道這一步其實(shí)可以運(yùn)行多次,并且可以選擇不同的directive來有側(cè)重的優(yōu)化時(shí)序。

比如,我們可以寫這樣一個(gè)Tcl腳本,在布局后,使用不同的directive或選項(xiàng)來跑多次物理優(yōu)化,甚至可以再多運(yùn)行一次物理優(yōu)化,專門針對(duì)那些事先通過get_nets命令找到并定義為highfanout_nets的高扇出網(wǎng)絡(luò)。具體directive的含義可以通過UG835、UG904或phys_opt_design -help命令查詢。

布局布線之間的多次物理優(yōu)化不會(huì)惡化時(shí)序,但會(huì)增加額外的運(yùn)行時(shí)間,也有可能出現(xiàn)時(shí)序完全沒有得到優(yōu)化的結(jié)果。布線后的物理優(yōu)化有時(shí)候會(huì)惡化THS,所以請(qǐng)一定記得每一步后都運(yùn)行 report_timing_summary,并且通過 write_checkpoint 寫出一個(gè) .dcp 文件來保留階段性結(jié)果。這一步的結(jié)果不理想就可以及時(shí)退回到上一步的 .dcp 繼續(xù)進(jìn)行。

用Tcl定制Vivado設(shè)計(jì)流程詳解

閉環(huán)設(shè)計(jì)流程

通常的FPGA設(shè)計(jì)流程是一個(gè)開環(huán)系統(tǒng),從前到后依次執(zhí)行。但Vivado中提供了一種可能,用戶可以通過 place_design -post_place_opt 在已經(jīng)完成布局布線的設(shè)計(jì)上再做一次布局布線,從而形成一個(gè)有了反饋信息的閉環(huán)系統(tǒng)。這次因?yàn)橛辛饲耙淮尾季€后的真實(shí)連線延遲信息,布局的針對(duì)性更好,并且只會(huì)基于時(shí)序不滿足的路徑進(jìn)行重布局而不會(huì)改變大部分已經(jīng)存在的布局信息,之后的布線過程也是增量流程。

這一過程所需的運(yùn)行時(shí)間較短,是一種很有針對(duì)性的時(shí)序優(yōu)化方案??梢酝ㄟ^Tcl寫一個(gè)循環(huán)多次迭代運(yùn)行,但需留意每次的時(shí)序報(bào)告,若出現(xiàn)時(shí)序惡化就應(yīng)及時(shí)停止。

用Tcl定制Vivado設(shè)計(jì)流程詳解

增量設(shè)計(jì)流程

Vivado中的增量設(shè)計(jì)也是一個(gè)不得不提的功能。當(dāng)設(shè)計(jì)進(jìn)行到后期,每次運(yùn)行改動(dòng)很小,在開始后端實(shí)現(xiàn)前讀入的設(shè)計(jì)網(wǎng)表具有較高相似度的情況下,推薦使用Vivado的增量布局布線功能。

如下圖所示,運(yùn)行增量流程的前提是有一個(gè)已經(jīng)完成布局布線的.dcp文件,并以此用來作為新的布局布線的參考。

運(yùn)行過程中,Vivado會(huì)重新利用已有的布局布線數(shù)據(jù)來縮短運(yùn)行時(shí)間,并生成可預(yù)測(cè)的結(jié)果。當(dāng)設(shè)計(jì)有95% 以上的相似度時(shí),增量布局布線的運(yùn)行時(shí)間會(huì)比一般布局布線平均縮短2 倍。若相似度低于80%,則使用增量布局布線只有很小的優(yōu)勢(shì)或者基本沒有優(yōu)勢(shì)。

用Tcl定制Vivado設(shè)計(jì)流程詳解

除了縮短運(yùn)行時(shí)間外,增量布局布線對(duì)沒有發(fā)生變化的設(shè)計(jì)部分造成的破壞也很小,因此能減少時(shí)序變化,最大限度保留時(shí)序結(jié)果,所以一般要求用做參考的 .dcp 文件必須是一個(gè)完全時(shí)序收斂的設(shè)計(jì)。

參考點(diǎn) .dcp 文件可以在Vivado IDE的Implementation設(shè)置中指定,也可以在Tcl腳本中用 read_checkpoint -incremental 讀入。特別需要指出的是,在工程模式中,如要在不新建一個(gè)impl實(shí)現(xiàn)的情況下使用上一次運(yùn)行的結(jié)果作為參考點(diǎn),必須將其另存到這次運(yùn)行目錄之外的位置,否則會(huì)因沖突而報(bào)錯(cuò)。

用Tcl定制Vivado設(shè)計(jì)流程詳解

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    10

    文章

    1706

    瀏覽量

    88352
  • 設(shè)計(jì)流程
    +關(guān)注

    關(guān)注

    0

    文章

    14

    瀏覽量

    8029
  • 腳本
    +關(guān)注

    關(guān)注

    1

    文章

    383

    瀏覽量

    14792
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    799

    瀏覽量

    66128
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    TCL 定制 Vivado 設(shè)計(jì)實(shí)現(xiàn)流程

    今天推出Xilinx已發(fā)布的《Vivado使用誤區(qū)與進(jìn)階》系列:TCL定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程
    發(fā)表于 06-28 19:34

    Vivado設(shè)計(jì)之Tcl定制化的實(shí)現(xiàn)流程

    其實(shí)TclVivado中還有很多延展應(yīng)用,接下來我們就來討論如何利用Tcl語言的靈活性和可擴(kuò)展性,在Vivado中實(shí)現(xiàn)定制化的FPGA設(shè)計(jì)
    發(fā)表于 11-18 01:48 ?3542次閱讀
    <b class='flag-5'>Vivado</b>設(shè)計(jì)之<b class='flag-5'>Tcl</b><b class='flag-5'>定制</b>化的實(shí)現(xiàn)<b class='flag-5'>流程</b>

    TclVivado中的基礎(chǔ)應(yīng)用

    Xilinx的新一代設(shè)計(jì)套件Vivado相比上一代產(chǎn)品ISE,在運(yùn)行速度、算法優(yōu)化和功能整合等很多方面都有了顯著地改進(jìn)。但是對(duì)初學(xué)者來說,新的約束語言XDC以及腳本語言Tcl的引入則成為了快速掌握
    發(fā)表于 11-18 03:52 ?4884次閱讀
    <b class='flag-5'>Tcl</b>在<b class='flag-5'>Vivado</b>中的基礎(chǔ)應(yīng)用

    Vivado使用誤區(qū)與進(jìn)階——在Vivado中實(shí)現(xiàn)ECO功能

    關(guān)于TclVivado中的應(yīng)用文章從Tcl的基本語法和在Vivado中的應(yīng)用展開,介紹了如何擴(kuò)展甚至是定制FPGA設(shè)計(jì)實(shí)現(xiàn)
    發(fā)表于 11-18 18:26 ?5312次閱讀
    <b class='flag-5'>Vivado</b>使用誤區(qū)與進(jìn)階——在<b class='flag-5'>Vivado</b>中實(shí)現(xiàn)ECO功能

    如何使用Tcl命令語言讓Vivado HLS運(yùn)作

    了解如何使用Tcl命令語言以批處理模式運(yùn)行Vivado HLS并提高工作效率。 該視頻演示了如何從現(xiàn)有的Vivado HLS設(shè)計(jì)輕松創(chuàng)建新的Tcl批處理腳本。
    的頭像 發(fā)表于 11-20 06:06 ?3116次閱讀

    TclVivado中的基礎(chǔ)應(yīng)用及優(yōu)勢(shì)

    實(shí)際上Tcl的功能可以很強(qiáng)大,其編寫的程序也可以很復(fù)雜,但要在Vivado或大部分其它EDA工具中使用,則只需掌握其中最基本的幾個(gè)部分
    的頭像 發(fā)表于 07-24 16:52 ?3403次閱讀
    <b class='flag-5'>Tcl</b>在<b class='flag-5'>Vivado</b>中的基礎(chǔ)應(yīng)用及優(yōu)勢(shì)

    FPGA設(shè)計(jì)中TclVivado中的基礎(chǔ)應(yīng)用

    Tcl介紹 Vivado是Xilinx最新的FPGA設(shè)計(jì)工具,支持7系列以后的FPGA及Zynq 7000的開發(fā)。與之前的ISE設(shè)計(jì)套件相比,Vivado可以說是全新設(shè)計(jì)的。無論從界面、設(shè)置、算法
    的頭像 發(fā)表于 11-17 17:32 ?2482次閱讀

    如何用Tcl實(shí)現(xiàn)Vivado設(shè)計(jì)流程介紹

    Vivado有兩種工作模式:project模式和non-project模式。這兩種模式都可以借助VivadoIDE或Tcl命令來運(yùn)行。相比之下,VivadoIDE給project模式提供了更多的好處,而Tcl命令使得non-pr
    的頭像 發(fā)表于 10-21 10:58 ?3573次閱讀
    如何用<b class='flag-5'>Tcl</b>實(shí)現(xiàn)<b class='flag-5'>Vivado</b>設(shè)計(jì)<b class='flag-5'>流程</b>介紹

    帶大家一起體驗(yàn)一下Vivado的ECO流程

    這里帶大家一起體驗(yàn)一下Vivado 的ECO流程,以vivado自帶的Example Design為例, 直接TCL命令修改網(wǎng)表,在正常的
    的頭像 發(fā)表于 11-29 11:04 ?4197次閱讀
    帶大家一起體驗(yàn)一下<b class='flag-5'>Vivado</b>的ECO<b class='flag-5'>流程</b>

    Vivado中常用TCL命令匯總

    Vivado是Xilinx推出的可編程邏輯設(shè)備(FPGA)軟件開發(fā)工具套件,提供了許多TCL命令來簡(jiǎn)化流程和自動(dòng)化開發(fā)。本文將介紹在Vivado中常用的
    的頭像 發(fā)表于 04-13 10:20 ?3272次閱讀

    TclVivado中的應(yīng)用

    Xilinx的新一代設(shè)計(jì)套件Vivado相比上一代產(chǎn)品 ISE,在運(yùn)行速度、算法優(yōu)化和功能整合等很多方面都有了顯著地改進(jìn)。但是對(duì)初學(xué)者來說,新的約束語言 XDC 以及腳本語言 Tcl 的引入則成為
    的頭像 發(fā)表于 04-15 09:43 ?1372次閱讀

    TCL定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程

    今天推出Xilinx已發(fā)布的《Vivado使用誤區(qū)與進(jìn)階》系列:TCL定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程
    的頭像 發(fā)表于 05-05 09:44 ?991次閱讀
    <b class='flag-5'>用</b><b class='flag-5'>TCL</b><b class='flag-5'>定制</b><b class='flag-5'>Vivado</b>設(shè)計(jì)實(shí)現(xiàn)<b class='flag-5'>流程</b>

    Vivado中實(shí)現(xiàn)ECO功能

    關(guān)于 TclVivado中的應(yīng)用文章從 Tcl 的基本語法和在 Vivado 中的 應(yīng)用展開,繼上篇《
    的頭像 發(fā)表于 05-05 15:34 ?2425次閱讀
    在<b class='flag-5'>Vivado</b>中實(shí)現(xiàn)ECO功能

    Vivado設(shè)計(jì)套件Tcl命令參考指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件Tcl命令參考指南.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 10:23 ?1次下載
    <b class='flag-5'>Vivado</b>設(shè)計(jì)套件<b class='flag-5'>Tcl</b>命令參考指南

    Vivado設(shè)計(jì)套件用戶指南:使用Tcl腳本

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件用戶指南:使用Tcl腳本.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 14:59 ?0次下載
    <b class='flag-5'>Vivado</b>設(shè)計(jì)套件用戶指南:使用<b class='flag-5'>Tcl</b>腳本