0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技

文章:488 被閱讀:64.7w 粉絲數(shù):12 關(guān)注數(shù):0 點(diǎn)贊數(shù):3

廣告

利用S32處理器VDK實(shí)現(xiàn)電子數(shù)字孿生

為了適應(yīng)客戶對便捷性、安全性、自主性和電氣化的新需求,汽車行業(yè)正向基于更強(qiáng)大的電氣/電子(E/E)架....
的頭像 新思科技 發(fā)表于 09-13 14:07 ?384次閱讀
利用S32處理器VDK實(shí)現(xiàn)電子數(shù)字孿生

新思科技與Arm攜手合作,讓軟件定義汽車走向成功

自動駕駛汽車現(xiàn)在已經(jīng)不再是遙不可及的概念,甚至在一些國家已經(jīng)上路行駛。為了滿足便利性、安全性、自主性....
的頭像 新思科技 發(fā)表于 09-13 13:22 ?443次閱讀

如何為高性能計(jì)算應(yīng)用構(gòu)建更節(jié)能的SoC

據(jù)統(tǒng)計(jì),數(shù)據(jù)中心和數(shù)據(jù)傳輸網(wǎng)絡(luò)消耗了全球約1%的電力。人工智能日益普及,神經(jīng)網(wǎng)絡(luò)和大語言模型對底層硬....
的頭像 新思科技 發(fā)表于 09-13 13:19 ?380次閱讀

新思科技EDA技術(shù)賦能萬物智能時代創(chuàng)新

近日,新思科技聯(lián)合創(chuàng)始人兼執(zhí)行主席Aart de Geus和新思科技首席執(zhí)行官兼總裁Sassine ....
的頭像 新思科技 發(fā)表于 09-13 13:14 ?481次閱讀

新思科技助力未來教育新紀(jì)元

由新思科技支持的上海交通大學(xué)微納電子學(xué)院校企共建本科生課程“微納電子科技前沿講座”系列課程圓滿結(jié)束。
的頭像 新思科技 發(fā)表于 09-13 13:09 ?309次閱讀

新思科技探索AI+EDA的更多可能性

芯片設(shè)計(jì)復(fù)雜性的快速指數(shù)級增長給開發(fā)者帶來了巨大的挑戰(zhàn),整個行業(yè)不僅要向埃米級發(fā)展、Muiti-Di....
的頭像 新思科技 發(fā)表于 08-29 11:19 ?407次閱讀

采用新思科技和捷德技術(shù)的集成安全iSIM解決方案

在這個日益互聯(lián)的世界中,機(jī)器間的交互需求不斷增加。為了推動物聯(lián)網(wǎng)(IoT)的普及,我們不僅需要高效的....
的頭像 新思科技 發(fā)表于 08-29 11:04 ?322次閱讀
采用新思科技和捷德技術(shù)的集成安全iSIM解決方案

如何確保車規(guī)級芯片全生命周期的安全

為保障質(zhì)量、安全性和可靠性,汽車行業(yè)始終如一地貫徹著嚴(yán)苛的標(biāo)準(zhǔn)。然而,這種對汽車安全性和可靠性的堅(jiān)定....
的頭像 新思科技 發(fā)表于 08-12 10:47 ?292次閱讀
如何確保車規(guī)級芯片全生命周期的安全

使用新思科技波動光學(xué)軟件RSoft進(jìn)行光柵仿真/設(shè)計(jì)的流程

增強(qiáng)現(xiàn)實(shí)(AR)眼鏡使用戶能夠在現(xiàn)實(shí)世界的環(huán)境中疊加數(shù)字圖像,可廣泛應(yīng)用于教育、醫(yī)療、導(dǎo)航、游戲和娛....
的頭像 新思科技 發(fā)表于 08-12 10:44 ?887次閱讀
使用新思科技波動光學(xué)軟件RSoft進(jìn)行光柵仿真/設(shè)計(jì)的流程

PCIe光傳輸?shù)膬?yōu)勢與挑戰(zhàn)

PCIe向光傳輸接口的轉(zhuǎn)變,預(yù)示著低延遲傳輸將取得新的突破。作為PCI標(biāo)準(zhǔn)組織(PCI-SIG)的關(guān)....
的頭像 新思科技 發(fā)表于 08-12 10:37 ?438次閱讀
PCIe光傳輸?shù)膬?yōu)勢與挑戰(zhàn)

微透鏡陣列在汽車投影燈中的應(yīng)用

在智能汽車飛速發(fā)展的今天,汽車不再只是一種駕駛工具,它是家的延伸、辦公空間的拓展也是行動的娛樂空間。....
的頭像 新思科技 發(fā)表于 08-12 10:33 ?286次閱讀
微透鏡陣列在汽車投影燈中的應(yīng)用

新思科技1.6T以太網(wǎng)IP解決方案推動數(shù)據(jù)中心發(fā)展

我們每天都在搜索引擎中搜尋大量信息,并期望在短短幾秒鐘內(nèi)得到大量的相關(guān)信息,超大規(guī)模數(shù)據(jù)中心需要處理....
的頭像 新思科技 發(fā)表于 08-12 10:29 ?322次閱讀
新思科技1.6T以太網(wǎng)IP解決方案推動數(shù)據(jù)中心發(fā)展

為什么調(diào)試X值那么困難?

造成調(diào)試?yán)щy的因素有很多,其中包括取值未知(“X”)的情況。X是VHDL、Verilog、Syste....
的頭像 新思科技 發(fā)表于 08-12 10:23 ?305次閱讀
為什么調(diào)試X值那么困難?

新思科技TSO.ai助力解決芯片測試成本和時間挑戰(zhàn)

人工智能技術(shù)日漸普及,廣泛運(yùn)用于解決當(dāng)今的各種復(fù)雜問題,尤其是那些涉及海量數(shù)據(jù)的分析和相應(yīng)決策等單靠....
的頭像 新思科技 發(fā)表于 08-12 10:10 ?318次閱讀
新思科技TSO.ai助力解決芯片測試成本和時間挑戰(zhàn)

新思科技Verdi調(diào)試平臺的功能

對于大多數(shù)驗(yàn)證開發(fā)者來說,新一天的工作通常從理解和解決前一天的回歸調(diào)試失敗開始。經(jīng)過一晚的回歸運(yùn)行后....
的頭像 新思科技 發(fā)表于 08-12 10:03 ?265次閱讀
新思科技Verdi調(diào)試平臺的功能

什么是雙向散射分布函數(shù)?新思科技BSDF測量解決方案

你是否曾經(jīng)思考過,為什么當(dāng)陽光灑在汽車表面時,反射的光線會閃耀而不刺眼?或者為什么粉底液使皮膚在相同....
的頭像 新思科技 發(fā)表于 08-12 09:56 ?348次閱讀
什么是雙向散射分布函數(shù)?新思科技BSDF測量解決方案

新思科技7月份行業(yè)事件

新思科技宣布推出面向英特爾代工EMIB先進(jìn)封裝技術(shù)的可量產(chǎn)多裸晶芯片設(shè)計(jì)參考流程,該流程采用了Syn....
的頭像 新思科技 發(fā)表于 08-12 09:50 ?467次閱讀

新思科技芯片生命周期管理平臺助力車規(guī)級SoC設(shè)計(jì)

由于終端智能化水平越來越高,作為系統(tǒng)核心,SoC的集成度和規(guī)模也愈發(fā)龐大。同時,終端系統(tǒng)中包含的芯片....
的頭像 新思科技 發(fā)表于 08-02 14:47 ?308次閱讀
新思科技芯片生命周期管理平臺助力車規(guī)級SoC設(shè)計(jì)

新思科技CXL 3.1驗(yàn)證解決方案

機(jī)器學(xué)習(xí)和人工智能日益普及,虛擬機(jī)和虛擬組件上的工作負(fù)載也隨之不斷增加。為此,行業(yè)急需能夠確定工作負(fù)....
的頭像 新思科技 發(fā)表于 08-02 14:43 ?390次閱讀
新思科技CXL 3.1驗(yàn)證解決方案

USB4 v2是如何工作的?USB4 v2可以用在哪里?

在當(dāng)今的數(shù)字世界,小屏幕里景象和聲音也能夠栩栩如生。這背后涉及到一系列硬件和軟件技術(shù),通用串行總線(....
的頭像 新思科技 發(fā)表于 08-02 14:39 ?607次閱讀

新思科技電子數(shù)字孿生解決方案加速智能汽車創(chuàng)新

在智能汽車時代,軟件已成為汽車制造商的關(guān)鍵挑戰(zhàn)和機(jī)遇。然而,隨著軟件內(nèi)容的快速增長,傳統(tǒng)開發(fā)方法已無....
的頭像 新思科技 發(fā)表于 07-30 09:28 ?388次閱讀

新思科技PCIe 7.0驗(yàn)證IP(VIP)的特性

在近期的博文《新思科技率先推出PCIe 7.0 IP解決方案,加速HPC和AI等萬億參數(shù)領(lǐng)域的芯片設(shè)....
的頭像 新思科技 發(fā)表于 07-24 10:11 ?437次閱讀
新思科技PCIe 7.0驗(yàn)證IP(VIP)的特性

新思科技推動汽車光學(xué)技術(shù)的發(fā)展與創(chuàng)新

2024年7月11日,由新思科技(Synopsys)主辦的“與光同行-2024車載光學(xué)技術(shù)研討會”在....
的頭像 新思科技 發(fā)表于 07-23 10:14 ?477次閱讀

新思科技聯(lián)合臺積公司解鎖低功耗AIoT芯片

人工智能(AI)正在徹底改變我們的生活,推動從開發(fā)到消費(fèi)等各個層面發(fā)生技術(shù)轉(zhuǎn)型,重塑我們工作、交流和....
的頭像 新思科技 發(fā)表于 07-23 10:08 ?475次閱讀
新思科技聯(lián)合臺積公司解鎖低功耗AIoT芯片

新思科技ZeBu EP和HAPS-100 A12 FPGA的關(guān)鍵用例

從用于人工智能工作負(fù)載的大型單片SoC到復(fù)雜的Multi-Die系統(tǒng),當(dāng)今的芯片設(shè)計(jì)對軟件和硬件驗(yàn)證....
的頭像 新思科技 發(fā)表于 07-18 11:04 ?702次閱讀

SLM片內(nèi)監(jiān)控IP數(shù)據(jù)分析顯著減少測試成本

SLM片內(nèi)監(jiān)控IP數(shù)據(jù)分析為高價值應(yīng)用提供了更為自動化的數(shù)據(jù)分析手法。
的頭像 新思科技 發(fā)表于 07-16 15:05 ?268次閱讀
SLM片內(nèi)監(jiān)控IP數(shù)據(jù)分析顯著減少測試成本

新思科技攜手英特爾推出可量產(chǎn)Multi-Die芯片設(shè)計(jì)解決方案

新思科技(Synopsys)近日宣布推出面向英特爾代工EMIB先進(jìn)封裝技術(shù)的可量產(chǎn)多裸晶芯片設(shè)計(jì)參考....
的頭像 新思科技 發(fā)表于 07-16 09:42 ?479次閱讀

新思科技受邀參加2024世界人工智能大會

2024年7月4日上午,新思科技總裁兼首席執(zhí)行官Sassine Ghazi(蓋思新)先生受邀參加20....
的頭像 新思科技 發(fā)表于 07-05 11:45 ?649次閱讀

新思科技針對主要代工廠提供豐富多樣的UCIe IP解決方案

? 如今,摩爾定律逐漸放緩,開發(fā)者憑借自身的聰明才智,探索到了一些突破物理極限的創(chuàng)新方法。Multi....
的頭像 新思科技 發(fā)表于 07-03 15:16 ?829次閱讀

RFIC設(shè)計(jì)流程助力加速實(shí)現(xiàn)出色芯片設(shè)計(jì)

高級駕駛輔助系統(tǒng)(ADAS)應(yīng)用依賴于多項(xiàng)技術(shù),而在77GHz至81GHz范圍內(nèi)工作的汽車?yán)走_(dá)便是其....
的頭像 新思科技 發(fā)表于 07-01 16:32 ?430次閱讀
RFIC設(shè)計(jì)流程助力加速實(shí)現(xiàn)出色芯片設(shè)計(jì)