電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>電子技術(shù)應(yīng)用>電子常識>集成電路數(shù)據(jù)選擇器

集成電路數(shù)據(jù)選擇器

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

數(shù)據(jù)選擇器及其主要應(yīng)用

數(shù)據(jù)選擇器(data selector) 根據(jù)給定的輸入地址代碼,從一組輸入信號中選出指定的一個(gè)送至輸出端的組合邏輯電路
2023-10-11 15:33:48417

集成電路數(shù)據(jù)庫查詢軟件 V1.2

集成電路數(shù)據(jù)庫查詢軟件 V1.2包含完整資料的“TTL、CMOS和高速CMOS數(shù)字集成電路數(shù)據(jù)庫”軟件本文來自: 電子論壇http://www.eehome.cn電子工程師之家! [此貼子已經(jīng)被作者于2008-6-10 10:58:25編輯過]
2008-06-10 10:57:52

基于FPGA的多路選擇器設(shè)計(jì)

組合邏輯電路的輸出信號只與當(dāng)前時(shí)刻的輸入信號有關(guān),與其他時(shí)刻的輸入狀態(tài)無關(guān),無存儲電路或反饋電路。多路選擇器是在多路數(shù)據(jù)傳送過程中,根據(jù)需要選擇一條電路。如果還沒看懂功能,結(jié)合真值表就好理解了。
2023-05-12 12:47:51410

集成電路增長數(shù)據(jù).zip

集成電路增長數(shù)據(jù)
2023-01-13 09:07:510

9.7 數(shù)據(jù)選擇器數(shù)據(jù)分配器-視頻(2)#硬聲創(chuàng)作季

數(shù)據(jù)選擇器
學(xué)習(xí)硬聲知識發(fā)布于 2022-12-03 17:10:18

9.7 數(shù)據(jù)選擇器數(shù)據(jù)分配器-視頻(1)#硬聲創(chuàng)作季

數(shù)據(jù)選擇器
學(xué)習(xí)硬聲知識發(fā)布于 2022-12-03 17:09:32

8.5.2數(shù)據(jù)選擇器的應(yīng)用(2)#硬聲創(chuàng)作季

數(shù)據(jù)選擇器
學(xué)習(xí)硬聲知識發(fā)布于 2022-12-03 16:31:02

8.5.2數(shù)據(jù)選擇器的應(yīng)用(1)#硬聲創(chuàng)作季

數(shù)據(jù)選擇器
學(xué)習(xí)硬聲知識發(fā)布于 2022-12-03 16:30:13

8.5.1數(shù)據(jù)選擇器介紹(2)#硬聲創(chuàng)作季

數(shù)據(jù)選擇器
學(xué)習(xí)硬聲知識發(fā)布于 2022-12-03 16:29:21

8.5.1數(shù)據(jù)選擇器介紹(1)#硬聲創(chuàng)作季

數(shù)據(jù)選擇器
學(xué)習(xí)硬聲知識發(fā)布于 2022-12-03 16:28:50

如何選擇數(shù)字電源集成電路 (IC)

如何選擇數(shù)字電源集成電路 (IC)
2022-11-04 09:51:371

最新國外集成電路數(shù)據(jù)手冊

集成電路元器件手冊
2022-10-24 11:35:0341

剖析集成電路數(shù)據(jù)背后的產(chǎn)業(yè)真相

集成電路不僅是我國第一大進(jìn)口商品,中國還是全球最大的芯片進(jìn)口國。進(jìn)口數(shù)據(jù)可見,中國市場在全球產(chǎn)業(yè)格局中的地位。所以,堅(jiān)持全球化合作是我們應(yīng)該堅(jiān)持的根本。再者,集成電路進(jìn)口金額不斷提升,也體現(xiàn)其對我國科技發(fā)展的重要性。
2022-08-22 09:13:36628

RDA5875Y單片集成電路數(shù)據(jù)手冊

RDA5875Y是一種高度集成的單片集成電路帶有無線電收發(fā)和基帶處理,兼容藍(lán)牙2.1+EDR規(guī)范,并為數(shù)據(jù)和語音應(yīng)用。
2022-07-23 10:30:166

TTGO顏色選擇器

電子發(fā)燒友網(wǎng)站提供《TTGO顏色選擇器.zip》資料免費(fèi)下載
2022-07-06 10:36:582

如何設(shè)計(jì)參數(shù)化數(shù)據(jù)選擇器

在FPGA設(shè)計(jì)中,大部分情況下我們都得使用到數(shù)據(jù)選擇器。并且為了設(shè)計(jì)參數(shù)化,可調(diào),通常情況下我們需要一個(gè)參數(shù)可調(diào)的數(shù)據(jù)選擇器,比如M選1,M是可調(diào)的參數(shù)。
2022-03-30 14:15:311660

HOLT IC集成電路離散到數(shù)字選擇器的解決方案

美國加利福尼亞州,是航空航天領(lǐng)域集成電路的主要供應(yīng)商。20多年來,HOLT IC一直為世界各國的商業(yè)和國防軍事用戶制造數(shù)據(jù)總線和顯示驅(qū)動芯片產(chǎn)品。從F-16到A-350,HOLT IC是航空控制、導(dǎo)航、發(fā)動機(jī)管理、網(wǎng)絡(luò)通訊、安全設(shè)備和航空娛樂系統(tǒng)的核心。 深圳市立維創(chuàng)
2021-11-15 15:37:59790

什么是選擇器 CSS選擇器有哪些

什么是選擇器呢?每一條css樣式定義由兩部分組成,形式如下: [code] 選擇器{樣式} [/code] 在{}之前的部分就是“選擇器”。 “選擇器”指明了{(lán)}中的“樣式”的作用對象,也就是“樣式
2021-07-31 15:31:136548

jquery隱藏顯示元素 jQuery中選擇器的種類

jQuery選擇器種類 一、基本選擇器 1、ID選擇器 #id 2、類選擇器 .class 3、元素選擇器 element 4、selector1,selector2,。。。,selectorN 二
2021-07-30 16:41:181237

74LS151數(shù)據(jù)選擇器/多路復(fù)用器數(shù)據(jù)手冊

74LS151數(shù)據(jù)選擇器/多路復(fù)用器數(shù)據(jù)手冊免費(fèi)下載。
2021-05-31 14:48:0345

精密ADC選擇器指南

精密ADC選擇器指南
2021-05-16 14:04:4710

精密ADC選擇器指南

精密ADC選擇器指南
2021-04-27 16:00:2812

HMC788A:0.01千兆赫至10千兆赫,單片集成電路,砷化鎵,PHEMT射頻增益擋路數(shù)據(jù)

HMC788A:0.01千兆赫至10千兆赫,單片集成電路,砷化鎵,PHEMT射頻增益擋路數(shù)據(jù)
2021-04-23 14:53:3910

數(shù)據(jù)選擇器作用_數(shù)據(jù)選擇器和譯碼的區(qū)別

數(shù)據(jù)選擇器是一種通用性很強(qiáng)的邏輯部件,除了可以實(shí)現(xiàn)一些組合邏輯設(shè)計(jì)外,還可用做分時(shí)多路傳輸電路、函數(shù)發(fā)生及數(shù)碼比較等。
2021-02-19 17:18:2125683

9月中國進(jìn)口集成電路數(shù)量為537.2億個(gè)

根據(jù)海關(guān)總署公布的最新進(jìn)出口數(shù)據(jù),9月中國進(jìn)口集成電路數(shù)量為537.2億個(gè),總金額2569.3億元。截止9月,中國2020年累計(jì)進(jìn)口集成電路3871.8億個(gè),比上年同期增加23%。前9個(gè)月進(jìn)口
2020-10-22 16:59:061756

速度選擇器的原理說明

速度選擇器是質(zhì)譜儀的重要組成,剔除速度不同的粒子,提高檢測精度。
2020-08-17 17:45:2922090

Verilog HDL之多路選擇器設(shè)計(jì)

在數(shù)字信號的傳輸過程中,有時(shí)需要從多路輸入數(shù)據(jù)中選出某一路數(shù)據(jù),完成此功能的邏輯器件稱為數(shù)據(jù)選擇器,即所謂多路開關(guān),簡稱MUX(Multiplexer)。2選1多路選擇器能在選擇信號的控制下,從2路輸入信號中選擇其中的一路數(shù)據(jù)送到輸出口。其真值表如下表所示。
2020-07-20 08:56:103658

二選一數(shù)據(jù)選擇器的系統(tǒng)設(shè)計(jì)框架圖分析

數(shù)據(jù)選擇器是指經(jīng)過選擇,把多個(gè)通道的數(shù)據(jù)傳送到唯一的公共數(shù)據(jù)通道上去,實(shí)現(xiàn)數(shù)據(jù)選擇功能的邏輯電路稱為數(shù)據(jù)選擇器。在多路數(shù)據(jù)傳送過程中,能夠根據(jù)需要將其中任意一路選出來的電路,叫做數(shù)據(jù)選擇器,也稱多路選擇器或多路開關(guān)。
2019-11-20 07:10:0010776

數(shù)據(jù)選擇器的工作原理

數(shù)據(jù)選擇器的功能是根據(jù)地址選擇碼從多路輸入數(shù)據(jù)選擇一路送到輸出。其作用可用圖1所示的單刀多擲開關(guān)表示。D0~D2n-1為輸入數(shù)據(jù),Y為選擇輸出的數(shù)據(jù),S是選擇開關(guān),實(shí)際上是n位地址信號,最大可以控制選擇數(shù)據(jù)為2n個(gè)。
2019-08-05 15:43:0153791

FPGA學(xué)習(xí)系列:二選一數(shù)據(jù)選擇器的設(shè)計(jì)

前兩篇給大家介紹了軟件的安裝、破解以及工程的建立等基本的軟件操作,這一篇就通過簡單的二選一的數(shù)據(jù)選擇器的設(shè)計(jì)來實(shí)際操作一下,要記住,多動手才是王道。 設(shè)計(jì)背景: 數(shù)據(jù)選擇器在數(shù)字電路的設(shè)計(jì)中非
2018-05-31 11:40:1428504

USB開關(guān)選擇器指南

USB開關(guān)選擇器指南
2018-05-28 09:31:0415

數(shù)據(jù)選擇器74ls153應(yīng)用電路圖大全(表決電路\交通燈\報(bào)警電路

本文主要介紹了數(shù)據(jù)選擇器74ls153應(yīng)用電路圖大全(表決電路\交通燈\報(bào)警電路)。所謂雙4選1數(shù)據(jù)選擇器就是在一塊集成芯片上有兩個(gè)4選1數(shù)據(jù)選擇器。1G、2G為兩個(gè)獨(dú)立的使能端;B、A為公用的地址
2018-05-07 16:59:09100057

8選1多路選擇器電路圖(五款8選1多路選擇器電路)

多路選擇器又稱數(shù)據(jù)選擇器。8選1數(shù)據(jù)選擇器(型號有74151、74LS151、74251、74LS152),下面就以74LS151為例子,介紹幾款電路圖。
2018-04-28 17:25:01109667

4選1多路選擇器電路圖(四款多路選擇器電路

本文主要介紹了四款4選1多路選擇器電路圖。多路選擇器數(shù)據(jù)選擇器的別稱。在多路數(shù)據(jù)傳送過程中,能夠根據(jù)需要將其中任意一路選出來的電路
2018-04-27 09:37:49122881

多路選擇器有哪些_多路選擇器分類介紹

本文開始介紹了多路選擇器的分類與多路選擇器的4選1原理圖,其次介紹了多路選擇器的典型芯片,最后介紹了多路選擇器工作方式以及在長距離傳輸中的應(yīng)用。
2018-04-27 09:13:1830406

一文解析多路選擇器的工作原理及電路實(shí)現(xiàn)

本文開始介紹了多路選擇器的概念和在FPGA中多路選擇器結(jié)構(gòu),其次介紹了多路選擇器工作原理與應(yīng)用,最后介紹了多路選擇器的設(shè)計(jì)實(shí)現(xiàn)。
2018-04-27 08:46:5654101

jquery選擇器的實(shí)現(xiàn)原理(jquery選擇器總結(jié))

jquery原型里面有一個(gè)init初始化的方法,將傳入的值進(jìn)行解析,比如傳入的id還是class還是標(biāo)簽名。然后通過相應(yīng)的方法返回?cái)?shù)組型對象。既可以通過對象直接調(diào)用方法,也可以使用數(shù)組的length。jQuery 的選擇器可謂之強(qiáng)大無比,這里簡單地總結(jié)一下常用的選擇器。
2017-12-03 10:10:442406

標(biāo)準(zhǔn)集成電路數(shù)據(jù)手冊--非線性電路

標(biāo)準(zhǔn)集成電路數(shù)據(jù)手冊--非線性電路
2017-09-21 11:19:1411

譯碼,編碼,數(shù)據(jù)選擇器,電子開關(guān),電源分冊

譯碼,編碼,數(shù)據(jù)選擇器,電子開關(guān),電源分冊
2017-09-21 10:19:5313

GBT 17574-1998 半導(dǎo)體器件 集成電路數(shù)字集成電路

GBT 17574-1998 半導(dǎo)體器件 集成電路數(shù)字集成電路
2017-09-18 09:36:0726

現(xiàn)代集成電路實(shí)用手冊

本文介紹了各種常用的譯碼、編碼、數(shù)據(jù)選擇器、電子開關(guān)、集成穩(wěn)壓、直流電壓變換、電壓保護(hù)及監(jiān)視、恒流源及集成一體化電源等專用集成電路。本文中列出了這些器件的引腳圖、引腳說明、典型參數(shù)、主要性能和使用方法。
2017-08-28 17:54:2332

傳感集成電路手冊

傳感集成電路手冊傳感集成電路手冊傳感集成電路手冊傳感集成電路手冊
2015-11-05 17:02:1425

標(biāo)準(zhǔn)集成電路數(shù)據(jù)手冊--TTL電路增補(bǔ)本

本內(nèi)容提供了標(biāo)準(zhǔn)集成電路數(shù)據(jù)手冊--TTL電路增補(bǔ)本
2011-11-09 14:47:57214

表面安裝集成電路數(shù)據(jù)手冊(全冊)

內(nèi)容包括:最新CMOS數(shù)字集成電路、微控制集成電路、表面安裝技術(shù)、封裝形式及尺寸等。
2011-08-05 10:11:44329

指觸式電子頻道選擇器電路

由于機(jī)械式開關(guān)選擇器易磨損,銹蝕而壽命短,正在逐步用電子式選擇器代替。開關(guān)集成電路5C673可用于
2010-08-20 22:35:062380

Maxim推出集成智能電源選擇器的雙輸入線性充電器MAX89

Maxim推出集成智能電源選擇器的雙輸入線性充電器MAX8934 Maxim推出業(yè)內(nèi)首款集成智能電源選擇器(Smart Power Selector™)電路的雙輸入線性充電器MAX8934,
2010-04-03 10:45:36656

集成電路數(shù)據(jù)

集成電路數(shù)據(jù)
2010-03-29 14:47:29206

視頻選擇器

視頻選擇器     本電路可以用邏輯
2009-10-10 15:57:50657

設(shè)計(jì)出來的視頻選擇器電路

設(shè)計(jì)出來的視頻選擇器電路
2009-08-06 14:46:49477

AV選擇器電路

AV選擇器電路
2009-08-06 14:40:541152

新穎的集成電路數(shù)字鐘電路

新穎的集成電路數(shù)字鐘電路
2009-05-18 11:29:4823

數(shù)據(jù)選擇器的定義及功能

數(shù)據(jù)選擇器的定義及功能   數(shù)據(jù)選擇是指經(jīng)過選擇,把多個(gè)通道的數(shù)據(jù)傳送到唯一的公共數(shù)據(jù)通道上去。實(shí)現(xiàn)數(shù)據(jù)選擇功能的邏輯電路稱為數(shù)據(jù)選擇器。
2009-04-07 10:29:0619798

數(shù)據(jù)選擇器

數(shù)據(jù)選擇器 一、數(shù)據(jù)選擇器的定義及功能   數(shù)據(jù)選擇是指經(jīng)過選擇,把多個(gè)通道的數(shù)據(jù)傳送到唯一的公共數(shù)據(jù)通道上去。實(shí)現(xiàn)數(shù)據(jù)選擇
2009-04-07 10:27:2916251

第十九講 數(shù)據(jù)選擇器和分配器

第十九講 數(shù)據(jù)選擇器和分配器 6.5 數(shù)據(jù)選擇器和分配器6.5.1 數(shù)據(jù)選擇器一、 4選1數(shù)據(jù)選擇器1.邏輯電路2.真值表3.輸
2009-03-30 16:24:195069

數(shù)據(jù)選擇器

數(shù)據(jù)選擇器     一、 實(shí)驗(yàn)?zāi)康?     1. 掌握MSI組合邏輯電路數(shù)據(jù)選擇器的實(shí)驗(yàn)分析方法。    2.
2009-03-28 09:55:143531

譯碼數(shù)據(jù)選擇器

實(shí)驗(yàn)四  譯碼數(shù)據(jù)選擇器一、 實(shí)驗(yàn)?zāi)康氖煜?b style="color: red">集成譯碼、數(shù)據(jù)選擇器,了解其應(yīng)用二、 實(shí)驗(yàn)器材雙蹤示波器74LS139  2-4線譯碼    &nb
2009-03-20 17:57:0837

高壓精密極值信號選擇器

高壓精密極值信號選擇器
2008-02-25 22:05:27556

雙缸洗衣機(jī)定時(shí)和洗滌選擇器電路原理圖

雙缸洗衣機(jī)定時(shí)和洗滌選擇器電路原理圖
2008-02-02 17:07:299611

譯碼、數(shù)據(jù)選擇器及應(yīng)用

  譯碼數(shù)據(jù)選擇器及應(yīng)用  
2007-12-20 23:13:3584

已全部加載完成