電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>利用ISE與Matlab創(chuàng)建并仿真FPGA設(shè)計(jì)中的ROM IP核

利用ISE與Matlab創(chuàng)建并仿真FPGA設(shè)計(jì)中的ROM IP核

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

FPGA IP的相關(guān)問題

初始化時(shí)存入數(shù)據(jù)。那在IProm存放大量數(shù)據(jù)對(duì)FPGA有什么影響,比如我想存65536個(gè)16位的數(shù),然后在64M或者128M的時(shí)鐘下讀出來。會(huì)不會(huì)導(dǎo)致FPGA速度過慢?
2013-01-10 17:19:11

FPGA FFT仿真Matlab仿真結(jié)果差異很大

有某試驗(yàn)數(shù)據(jù),用matlab求fft之后再求得的功率譜密度是這樣的:圖1但是用fft ip,取前4096個(gè)數(shù)據(jù),得到fft之后的結(jié)果是這樣的:圖2求功率譜密度得到的是這樣的:圖3試驗(yàn)數(shù)據(jù)都是零點(diǎn)幾
2016-04-21 20:36:18

FPGA上對(duì)OC8051IP的修改與測(cè)試

的基礎(chǔ)上,給出了一種仿真調(diào)試方 案;利用該方案指出了其中若干邏輯錯(cuò)誤對(duì)其進(jìn)行修改,最終完成了修改后IPFPGA下載測(cè)試。1 OC8051結(jié)構(gòu)分析OpenCores網(wǎng)站提供的OC8051 IP
2012-08-11 11:41:47

FPGA嵌入8051單片機(jī) IP編程

FPGA嵌入8051單片機(jī) IP編程,編寫的c語言矩陣鍵盤程序可以在stc89c54單片機(jī)上正常工作,但是下載到FPGA8051單片機(jī)iprom,不能正常工作,求指教
2013-07-25 21:27:44

FPGA開發(fā)全攻略

設(shè)計(jì)早期系統(tǒng)規(guī)劃 365.3.綜合和仿真技巧 375.3.1 綜合工具XST的使用 375.3.2 基于ISE仿真 425.3.3 和FPGA接口相關(guān)的設(shè)置以及時(shí)序分析 455.3.4 綜合高手揭秘
2009-04-09 18:28:46

FPGA開發(fā)攻略-工程師創(chuàng)新應(yīng)用寶典基礎(chǔ)篇【上】

的封裝355.1.7 器件的價(jià)格355.2 如何進(jìn)行FPGA設(shè)計(jì)早期系統(tǒng)規(guī)劃365.3.綜合和仿真技巧375.3.1綜合工具XST的使用375.3.2 基于ISE仿真425.3.3 和FPGA接口相關(guān)
2012-02-27 15:44:02

FPGAIP學(xué)習(xí)的正確打開方式

本帖最后由 jf_25420317 于 2023-11-17 11:10 編輯 FPGA開發(fā)過程,利用各種IP,可以快速完成功能開發(fā),不需要花費(fèi)大量時(shí)間重復(fù)造輪子。 當(dāng)我們面對(duì)使用新IP
2023-11-17 11:09:22

FPGA片內(nèi)ROM初始化文檔創(chuàng)建

文檔創(chuàng)建既然是ROM,那么我們就必須實(shí)現(xiàn)給它準(zhǔn)備好數(shù)據(jù),然后在FPGA實(shí)際運(yùn)行時(shí),我們直接使用這些預(yù)存儲(chǔ)好的數(shù)據(jù)就行。Xilinx FPGA的片內(nèi)ROM支持初始化數(shù)據(jù)配置。如圖所示,我們可以創(chuàng)建一個(gè)名為
2019-04-08 09:34:43

FPGA設(shè)計(jì),使用ISEMatlab創(chuàng)建仿真ROM IP

2021-09-22 14:57:13

FPGA零基礎(chǔ)學(xué)習(xí)之Vivado-ROM使用教程

說,上貨。 ROM使用教程 ROM的英文全稱為Read-Only Memory,即只讀存儲(chǔ)器??梢詮娜我獾刂飞献x取數(shù)據(jù),但是不能寫入。那么我們ROM的數(shù)據(jù),就需要我們提前存放進(jìn)去,在IP
2023-06-15 16:57:22

FPGA零基礎(chǔ)學(xué)習(xí):IP CORE 之 ROM設(shè)計(jì)

利用FPGA片內(nèi)嵌入的M9K構(gòu)成的,所以不能夠?qū)崿F(xiàn)掉電不丟失。 由于設(shè)計(jì)ROM深度為256,故而地址的寬度為8位。 本次構(gòu)建為ROM,所以在構(gòu)建ROM之前應(yīng)當(dāng)首先設(shè)計(jì)好初始化文件(mif文件
2023-03-13 15:46:42

IP CORE 之 ROM 設(shè)計(jì)- ISE 操作工具

不多說,上貨。IP CORE 之 ROM 設(shè)計(jì)- ISE 操作工具本篇實(shí)現(xiàn)基于叁芯智能科技的SANXIN -B02 FPGA開發(fā)板,如有入手開發(fā)板,可以登錄官方淘寶店購(gòu)買,還有配套的學(xué)習(xí)視頻
2023-04-07 20:09:59

ISE不能生成IP

本帖最后由 elecfans跑堂 于 2015-9-7 13:54 編輯 一個(gè)項(xiàng)目里有IProm,想改其中的參數(shù)重新生成,結(jié)果打開失敗,然后我把它移除了,在項(xiàng)目里邊新添加不了,提示如下錯(cuò)誤
2015-09-07 12:21:59

ISE是如何調(diào)用ModelSim進(jìn)行仿真

創(chuàng)建test.vhd 和test_tb.vhd文件添加到工程,這里不細(xì)說如何創(chuàng)建HDL源代碼。然后配置ISE仿真器,右鍵選中FPGA芯片,點(diǎn)擊properTIes,然后在Simulator選擇
2019-06-03 09:11:11

ise FFT ip的datasheet文檔打不開什么原因

ise FFT ip的datasheet文檔打不開什么原因
2015-08-27 14:46:45

iseiP

請(qǐng)問哪位高手有ise軟件的各個(gè)ip的功能介紹
2013-10-08 16:41:25

AWGN IP兼容ISE版哪里可以找到

大家好,我正在尋找AWGN IP,AWGN IP似乎自2009年起停產(chǎn)。我相信在2009年之前下載早期版本的ISE應(yīng)該有AWGN IP,但在ise 9.1i它不存在。誰有任何建議可以找到已停產(chǎn)
2019-02-26 11:11:59

Modelsim SE仿真ISE下定制的ROM

這次利用Xilinx公司的芯片做FPGA開發(fā)的時(shí)候用到了ROM,肯定要對(duì)ROM仿真,經(jīng)過了一天的努力,總算可以做仿真了,現(xiàn)在把過程寫出來,供大家參考一下。1.首先需要編譯XINLINX的庫(kù)文件
2012-02-29 10:44:56

USB_OTG_IPAMBA接口的設(shè)計(jì)與FPGA實(shí)現(xiàn)

USB_OTG_IPAMBA接口的設(shè)計(jì)與FPGA實(shí)現(xiàn)
2012-08-06 11:40:55

XILINX ISE 13.4 時(shí)序仿真問題

文件HRV_top。通過測(cè)試文件對(duì)設(shè)計(jì)文件進(jìn)行功能仿真,仿真結(jié)果正確。但是當(dāng)我對(duì)設(shè)計(jì)完成布局布線之后,沒有其它錯(cuò)誤,接著進(jìn)行時(shí)序仿真,見圖2. 在圖2,測(cè)試文件調(diào)用的RAM IP(也就是RAM_fangzhen)怎么找不到????求高手解答。
2015-08-29 16:55:16

Xilinx FPGA入門連載43:FPGA片內(nèi)ROM實(shí)例之功能概述

如圖所示?!馪ll_controller.v模塊產(chǎn)生FPGA內(nèi)部所需時(shí)鐘信號(hào)。●Rom_test.v模塊例化FPGA片內(nèi)ROM,產(chǎn)生FPGA片內(nèi)ROM讀地址,定時(shí)遍歷讀取ROM的數(shù)據(jù)?!馛hipscope_debug.cdc模塊引出ROM的讀取信號(hào)總線,通過chipscope在ISE在線查看ROM讀取時(shí)序。
2016-01-06 12:22:53

Xilinx FPGA入門連載44:FPGA片內(nèi)ROM實(shí)例之ROM配置

文檔創(chuàng)建既然是ROM,那么我們就必須實(shí)現(xiàn)給它準(zhǔn)備好數(shù)據(jù),然后在FPGA實(shí)際運(yùn)行時(shí),我們直接使用這些預(yù)存儲(chǔ)好的數(shù)據(jù)就行。Xilinx FPGA的片內(nèi)ROM支持初始化數(shù)據(jù)配置。如圖所示,我們可以創(chuàng)建一個(gè)
2016-01-08 13:12:44

Xilinx FPGA入門連載45:FPGA片內(nèi)ROM實(shí)例之功能仿真

路徑。設(shè)定完成后點(diǎn)擊“OK”回到ISE主界面。 2 功能仿真如圖所示,雙擊“Simulate Behavioral Model”開始仿真。接著,Modelsim我們可以查看讀ROM的波形。這里需要
2016-01-11 12:17:28

Xilinx FPGA入門連載59:FPGA 片內(nèi)ROM FIFO RAM聯(lián)合實(shí)例之功能概述

/1jGjAhEm 1 功能概述該工程實(shí)例內(nèi)部系統(tǒng)功能框圖如圖所示。我們通過IP分別例化了ROM、FIFO和RAM,ROM有預(yù)存儲(chǔ)的數(shù)據(jù)可供讀取,將其放入FIFO,隨后再讀出送到RAM供讀取。通過ISE集成
2016-03-16 12:43:36

Xilinx FPGA入門連載60:FPGA 片內(nèi)ROM FIFO RAM聯(lián)合實(shí)例之功能仿真

`Xilinx FPGA入門連載60:FPGA 片內(nèi)ROM FIFO RAM聯(lián)合實(shí)例之功能仿真特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s
2016-03-18 09:20:25

Xilinx FPGA入門連載74:波形發(fā)生器之IPCORDIC(正弦波)功能仿真

`Xilinx FPGA入門連載74:波形發(fā)生器之IPCORDIC(正弦波)功能仿真特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
2016-04-25 08:33:11

Xilinx FPGA片內(nèi)ROM FIFO RAM聯(lián)合實(shí)例之功能概述

1 功能概述該工程實(shí)例內(nèi)部系統(tǒng)功能框圖如圖所示。我們通過IP分別例化了ROM、FIFO和RAM,ROM有預(yù)存儲(chǔ)的數(shù)據(jù)可供讀取,將其放入FIFO,隨后再讀出送到RAM供讀取。通過ISE集成的在線
2019-01-10 09:46:06

Xilinx FPGA片內(nèi)ROM實(shí)例之ROM配置

文檔創(chuàng)建既然是ROM,那么我們就必須實(shí)現(xiàn)給它準(zhǔn)備好數(shù)據(jù),然后在FPGA實(shí)際運(yùn)行時(shí),我們直接使用這些預(yù)存儲(chǔ)好的數(shù)據(jù)就行。Xilinx FPGA的片內(nèi)ROM支持初始化數(shù)據(jù)配置。如圖所示,我們可以創(chuàng)建一個(gè)名為
2019-01-09 16:02:21

fft ip 仿真問題

仿真fft ip時(shí) 輸出信號(hào)一直為0,檢查了輸入波形,應(yīng)該沒有問題,大家?guī)兔纯窗奢斎胧怯?b class="flag-6" style="color: red">rom里面的mif文件產(chǎn)生的信號(hào)。
2017-11-21 10:44:53

fft ip仿真的驗(yàn)證

我用quartus II調(diào)用modelsim仿真fft ip,仿真結(jié)束后我想驗(yàn)證下數(shù)據(jù)是否正確,結(jié)果是:我用matlab生成同樣的整形數(shù)據(jù),然后用modelsim仿出的結(jié)果txt文件與用
2012-09-20 12:48:37

modelsim 仿真 altera IPROM,RAM實(shí)例

modelsim 仿真 altera IPROM,RAM實(shí)例)急求大神們ROM和RAM 的綜合仿真代碼
2015-11-19 21:02:57

xilinx FPGA的FFT IP的調(diào)用

有沒有大神可以提供xilinx FPGA的FFT IP的調(diào)用的verilog 的參考程序,最近在學(xué)習(xí)FFT的IP的使用,但是仿真結(jié)果有問題,所以想找些參考設(shè)計(jì),謝謝
2016-12-25 17:05:38

FPGACPLD設(shè)計(jì)工具──Xilinx+ISE使用詳解》

本帖最后由 lee_st 于 2017-11-2 15:01 編輯 《FPGACPLD設(shè)計(jì)工具──Xilinx+ISE使用詳解》第 1 章 ISE 系統(tǒng)簡(jiǎn)介
2017-11-02 10:02:32

FPGA開源教程連載】第四章 IP應(yīng)用之計(jì)數(shù)器

創(chuàng)建包含定制IP的設(shè)計(jì)文件,然后在設(shè)計(jì)文件例化IP。在Mega Wizard插件管理器可以創(chuàng)建、定制和例化Altera IP、參數(shù)化模型庫(kù)(LPM)模塊以及在Quartus II軟件、EDA
2016-12-22 23:37:00

【參考書籍】Xilinx FPGA開發(fā)實(shí)用教程——田耘,徐文波著

的使用4.5.3 Synplify Pro、ModelSim和ISE的聯(lián)合開發(fā)流程4.5.4 ISEMATLAB的聯(lián)合使用4.6 Xilinx FPGA芯片底層單元的使用4.6.1 Xilinx全局時(shí)鐘網(wǎng)絡(luò)
2012-04-24 09:23:33

【夢(mèng)翼師兄今日分享】 只讀儲(chǔ)存器ROM IP的調(diào)取及應(yīng)用

增加1,所以此時(shí)的mif文件存放的是0到255)。在右側(cè)的IP搜索的編輯區(qū),輸入rom,在菜單欄找到雙擊rom(在這里我們使用單端口的rom,雙端口的rom,自己感興趣的話,可以自己調(diào)用試一試
2019-12-16 17:18:30

【連載視頻教程(四)】小梅哥FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之高性能計(jì)數(shù)器IP使用

,手把手演示工程創(chuàng)建,IP調(diào)用、Testbench編寫以及仿真驗(yàn)證,讓每一個(gè)0基礎(chǔ)的朋友都能快速跟上節(jié)奏。另外,有一定基礎(chǔ)的朋友,其實(shí)也可以觀看,因?yàn)樵谠O(shè)計(jì),我已經(jīng)將很多的設(shè)計(jì)小技巧穿插在視頻中了。請(qǐng)
2015-09-22 14:06:56

【鋯石A4 FPGA試用體驗(yàn)】IPROM(一)創(chuàng)建mif文件

的存儲(chǔ)單元,然后系統(tǒng)正常工作時(shí),讀出其中存儲(chǔ)的數(shù)據(jù)。配置一人ROMIP,有三個(gè)步驟:參數(shù)設(shè)置、電子設(shè)計(jì)自動(dòng)化、總結(jié)。理論的內(nèi)容可以再仔細(xì)研讀其他資料,這里以實(shí)踐為主。新建一個(gè)mif文件,mif文件就是
2016-09-24 23:36:31

【鋯石A4 FPGA試用體驗(yàn)】IPROM(三)ModelSim仿真

or negedge RST_N)beginif(!RST_N)addressRun Simulation Tool-- > RTL Simulation可以看到,數(shù)據(jù)的讀取在第0ns時(shí)就讀取,這是由于在配置ROM IP時(shí)的Regs/Clken/Aclrs頁面把q outputport.設(shè)置去掉了。
2016-09-25 09:58:23

【鋯石A4 FPGA試用體驗(yàn)】IPROM(二)創(chuàng)建ROM IP

前面建好了mif文件,下面就要創(chuàng)建ROM IP了。首先,我們新建一個(gè)工程。菜單欄:Tools --> MegaWizardPlug-InManager ,點(diǎn)擊“Next”選擇ROMIP
2016-09-25 09:38:33

【鋯石A4 FPGA試用體驗(yàn)】IP之FIFO(三)SignalTap II仿真

內(nèi)建的示波器。SignalTapⅡ的使用要新建一個(gè)仿真調(diào)試文件。SignalTapⅡ可以設(shè)定信號(hào)的觸發(fā)方式。其他請(qǐng)補(bǔ)充。另,FPGAIP并不是只有這幾種,從新建IP的界面可以看到,IP還有很多。
2016-10-11 22:24:16

為什么rom尋址有時(shí)候會(huì)出來錯(cuò)的結(jié)果啊,求助

總是出來后幾個(gè)地址的結(jié)果。。。如圖,圖一是ise仿真結(jié)果,addr為242時(shí)的結(jié)果為-1400(ip有時(shí)延),但在matlab里看rom的內(nèi)容242對(duì)應(yīng)的是-1408(圖二),-1400是后面3位
2018-12-08 11:37:00

以計(jì)數(shù)器IP為例了解IP使用流程

創(chuàng)建包含定制IP的設(shè)計(jì)文件,然后在設(shè)計(jì)文件例化IP。在Mega Wizard插件管理器可以創(chuàng)建、定制和例化Altera IP、參數(shù)化模型庫(kù)(LPM)模塊以及在Quartus II軟件、EDA
2019-03-04 06:35:13

使用ISE的CIC IP時(shí)仿真出來的結(jié)果求幫分析!!

新手見諒使用IP設(shè)計(jì)了一個(gè)10階3級(jí)的CIC濾波器,輸入數(shù)據(jù)位寬12位,輸出最大22位但是仿真出來的結(jié)果有種溢出的感覺,想不通是怎么回事,求各位前輩幫忙分析~~貼出來IP設(shè)置界面,還有matlab處理的結(jié)果{:4_108:}
2013-10-13 16:56:12

使用Vivado調(diào)用ROM IP

  本例程主要使用Vivado 調(diào)用ROM IP,用含有正弦曲線的.coe文件初始化ROM,最終通過仿真實(shí)現(xiàn)波形的顯示  一、首先建立工程      二、選擇芯片的型號(hào)  我
2021-01-08 17:16:43

關(guān)于IP

剛剛接觸IP做FFT,現(xiàn)在用的是FFTV9.0,已經(jīng)建立了一個(gè)IP,但是如何仿真呢?是用quartus自帶軟件,還是要用MATLAB?抑或其他?我用的自帶軟件,但是什么也沒有出來。正確的辦法應(yīng)該怎樣呢,謝謝指點(diǎn)。
2011-04-21 10:22:31

關(guān)于ISE調(diào)用ROM IP初始化的問題?

現(xiàn)在在做一個(gè)任意波形發(fā)生器的設(shè)計(jì),先是用正弦波波形數(shù)據(jù)初始化的rom,能夠顯示出正弦波,后來用鋸齒波的數(shù)據(jù),再次初始化rom仿真出來的波形還是正弦波。不知道為什么???
2015-09-29 11:46:35

關(guān)于MATLABISE聯(lián)合仿真

利用system generator將ISEMATLAB關(guān)聯(lián),但是打開simulink的過程中出現(xiàn)如下圖情況 求問為何?過程完全按照流程,分別給MATLAB以管理員權(quán)限,而且版本匹配,ISE14.7和MATLAB13a。
2017-12-26 21:51:55

關(guān)于fpgaIP

quartus ii9.0創(chuàng)建ip,生成的一些文件,(.qip、 add_sub_bb.v、add_sub.v)這些文件都有用嗎,想在其他工程里調(diào)用這些IP,這幾個(gè)文件全部要添加嗎?
2013-07-02 17:20:01

關(guān)于ip生成的rom

用quartus ii 自帶的ip創(chuàng)建了一個(gè)rom,加載了初始的hex數(shù)據(jù)。當(dāng)我從rom讀出數(shù)據(jù)的時(shí)候,發(fā)現(xiàn)前面兩個(gè)地址(0000,0001)的輸出數(shù)據(jù)不正確,0002輸出數(shù)據(jù)是地址0000對(duì)應(yīng)的數(shù)據(jù),即地址偏移了2位,請(qǐng)教給位大蝦這是怎么回事?應(yīng)該如何解決?
2013-05-14 14:38:21

關(guān)于rom讀取內(nèi)容全是0

我在電子發(fā)燒友上看了小梅哥的fpga學(xué)習(xí)視頻??吹?b class="flag-6" style="color: red">rom那一節(jié)時(shí),我按照視頻講解的方式調(diào)用了一個(gè)romip,編寫了testbench文件。但是,得到的仿真結(jié)果rom的數(shù)據(jù)全是0,mif文件沒有問題,已經(jīng)設(shè)置好了,請(qǐng)問問題出在哪里?求各位大神指教
2018-03-07 11:31:24

關(guān)于Quartus II調(diào)用ROM IP時(shí)的一連串問題,糾結(jié)兩天了~求高人解答

`最近做仿真需要用到Quartus ii里的ROM IP,為了驗(yàn)證功能,我新建了工程,生成IP,數(shù)據(jù)深度512,位寬8bit,用MATLAB產(chǎn)生512個(gè)隨機(jī)整數(shù),新建hex文件,將512個(gè)隨機(jī)數(shù)
2015-12-22 23:14:53

關(guān)于altera FFT IP matlab 仿真問題請(qǐng)教

本帖最后由 Laputa_fly 于 2013-11-23 13:46 編輯 用quartus9.0調(diào)用了altera FFT?。桑小?b class="flag-6" style="color: red">核 生成了modisim 和 matlab 的仿真文件。用modelsim 仿真有結(jié)果。但是按照官方的使用說明用matlab仿真時(shí)出現(xiàn)問題。請(qǐng)大家?guī)兔鉀Q一下。謝謝!
2013-11-23 13:43:41

關(guān)于altera系列芯片F(xiàn)IR IP在使用過程的問題

最近在做一個(gè)FIR低通濾波器,利用Matlab 產(chǎn)生濾波系數(shù),導(dǎo)入到Quartus,再利用其中的FIR IP進(jìn)行濾波器設(shè)計(jì),在采用分布式全并行結(jié)構(gòu)時(shí),Modelsim 仿真有輸出;如果改為分布式
2018-07-05 08:33:02

關(guān)于xilinxfir濾波器IP使用

最近進(jìn)行FPGA學(xué)習(xí),使用FIR濾波器過程中出現(xiàn)以下問題:使用FIR濾波器IP,輸入數(shù)據(jù)為1~256,濾波器系數(shù)為,coef =-1469,-14299 ,-2185,10587
2018-11-02 17:17:57

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載78:FPGA片內(nèi)ROM實(shí)例之功能概述

FPGA片內(nèi)ROM,產(chǎn)生FPGA片內(nèi)ROM讀地址,定時(shí)遍歷讀取ROM的數(shù)據(jù);此外,圖中未示意,該工程實(shí)例還包括了SignalTapII的IP模塊,該模塊引出ROM的讀取信號(hào)總線,可以在線查看ROM讀取時(shí)序。 圖9.20 ROM實(shí)例模塊互聯(lián)接口 `
2018-06-16 19:39:24

可以在EDK中使用ISEIP嗎?

嗨,我想在EDK中使用ISE中提供的PCI Express IP,這意味著我應(yīng)該將所有ISE IP的verilog模塊導(dǎo)入EDK。這是可能的,如果可能的話請(qǐng)發(fā)送相關(guān)文件。謝謝&問候,Madhu.B
2020-03-24 08:14:50

基于FPGA的FFT和IFFT IP應(yīng)用實(shí)例

飛舞,這里就不贅述了,以免有湊字?jǐn)?shù)的嫌疑。下面我們就MatlabFPGA兩個(gè)工具雙管齊下,比對(duì)Vivado的FFT IP生成的數(shù)據(jù)。2 Matlab產(chǎn)生測(cè)試數(shù)據(jù),繪制cos時(shí)域和頻域波形
2019-08-10 14:30:03

基于FPGA的FIR濾波器IP仿真實(shí)例

基于FPGA的FIR濾波器IP仿真實(shí)例 AT7_Xilinx開發(fā)板(USB3.0+LVDS)資料共享 騰訊鏈接:https://share.weiyun.com/5GQyKKc 百度網(wǎng)盤鏈接
2019-07-16 17:24:22

基于FPGA的OC8051 IP仿真調(diào)試

受到業(yè)內(nèi)人士的青睞。本文在分析OpenCores網(wǎng)站提供的一款OC8051IP的基礎(chǔ)上,給出了一種仿真調(diào)試方案;利用該方案指出了其中若干邏輯錯(cuò)誤對(duì)其進(jìn)行修改,最終完成了修改后IPFPGA下載測(cè)試。
2019-07-04 06:02:19

基于FPGA的信號(hào)與處理

過程2-參考代碼解讀Xilinx 仿真庫(kù)編譯FFT_Ip數(shù)據(jù)手冊(cè)解讀FFT_IP設(shè)計(jì)與調(diào)用Matlab設(shè)置ISE FIR濾波器系數(shù)FIR_Ip數(shù)據(jù)手冊(cè)解讀FIR_IP設(shè)計(jì)與調(diào)用[td]通過一個(gè)
2018-08-09 21:32:52

基于IPFPGA設(shè)計(jì)方法是什么?

的分類和特點(diǎn)是什么?基于IPFPGA設(shè)計(jì)方法是什么?
2021-05-08 07:07:01

基于candence的AD電路圖和ISE的51單片機(jī)IP聯(lián)合仿真

現(xiàn)有基于candence的AD的模擬電路,還有在ISE上用Verilog寫的51單片機(jī)的IP,怎樣將AD掛載到51上進(jìn)行仿真呀,謝謝各位大蝦?。?!
2012-07-13 15:45:11

如何使用FPGA內(nèi)部的ROM以及程序?qū)υ?b class="flag-6" style="color: red">ROM的數(shù)據(jù)讀操作

入RAM。本實(shí)驗(yàn)將為大家介紹如何使用FPGA內(nèi)部的ROM以及程序?qū)υ?b class="flag-6" style="color: red">ROM的數(shù)據(jù)讀操作。1.實(shí)驗(yàn)原理Xilinx在VIVADO里為我們已經(jīng)提供了ROMIP, 我們只需通過IP例化一個(gè)ROM,根據(jù)
2021-01-07 15:48:39

怎么在FPGA上對(duì)OC8051 IP的修改與測(cè)試?

本文在分析OpenCores網(wǎng)站提供的一款OC8051IP的基礎(chǔ)上,給出了一種仿真調(diào)試方案;利用該方案指出了其中若干邏輯錯(cuò)誤對(duì)其進(jìn)行修改,最終完成了修改后IPFPGA下載測(cè)試。
2021-05-08 06:22:32

模擬AD電路如何轉(zhuǎn)化成類似IP的東西燒寫到ISE

本人現(xiàn)在有基于candence的10位AD模擬電路,怎樣能轉(zhuǎn)換成類似IP的東西,整合到ISE工程,給點(diǎn)思路,謝謝各位大神!
2012-07-13 20:40:36

求助,ISE軟件編寫,romIP重啟電腦后工程失效。

本帖最后由 tony歐 于 2016-6-3 13:36 編輯 我用ISE編寫的工程,如果涉及ROM IP,第一次仿真時(shí)是完全好使的,輸出端能輸出波形。但是當(dāng)我關(guān)電腦,重啟再次打開這個(gè)工程時(shí),仿真輸出端就變成了ZZZZZ,請(qǐng)問這個(gè)是什么原因啊。。很急很急。。
2016-06-03 13:17:15

求教一個(gè)ISE軟件乘法器IP的問題

ISE自帶的乘法器IP如何設(shè)置延時(shí)2個(gè)時(shí)鐘周期?為什么我生成的時(shí)候沒有l(wèi)atency這個(gè)選項(xiàng),生成后的xco文件貌似也沒有延時(shí),但是生成的vhd文件卻有這么一句“c_latency =>
2015-03-28 12:16:31

求解 validate design時(shí)候出現(xiàn)了IP被lock的問題

創(chuàng)建項(xiàng)目,然后create block,然后將ad7616添加之IP倉(cāng)庫(kù)添加到design后,開始validate design的時(shí)候出現(xiàn)了IP被lock的問題(錯(cuò)誤截圖見附件),一直沒法解決,各位大神幫幫忙!
2018-07-31 09:47:33

玩轉(zhuǎn)Zynq連載48——[ex67] Vivado FFT和IFFT IP應(yīng)用實(shí)例

Vivado的FFT IP生成的數(shù)據(jù)。 2 Matlab產(chǎn)生測(cè)試數(shù)據(jù),繪制cos時(shí)域和頻域波形使用projectzstar_ex67matlab文件夾下的Matlab源碼fft_1line.m,運(yùn)行產(chǎn)生1組
2020-01-07 09:33:53

請(qǐng)問modelsim怎么編譯ISEIP

沒用過ISE,不知道生成的IP文件夾 ,哪些是需要添加到modelsim中用于仿真的文件 。拿ram舉例,仿真庫(kù)文件還應(yīng)該添加哪些內(nèi)容。希望大神們指導(dǎo)下 多謝
2018-12-18 17:58:32

調(diào)用ALTERA的FFT IP,功能仿真與門級(jí)仿真結(jié)果相差很遠(yuǎn),求大神解答?

如題,調(diào)用altera公司的FFT IP,用的是13.1版本,將modulsim仿真的結(jié)果輸入到matlab畫出頻譜圖,功能仿真結(jié)果沒有問題,但門級(jí)仿真中除了原頻率信息外,出現(xiàn)了很多不存在的頻率
2018-08-28 20:43:56

采用EDA軟件和FPGA實(shí)現(xiàn)IP保護(hù)技術(shù)

(Intellectual Property)IP由相應(yīng)領(lǐng)域的專業(yè)人員設(shè)計(jì),并經(jīng)反復(fù)驗(yàn)證。IP的擁有者可通過出售IP獲取利潤(rùn)。利用IP,設(shè)計(jì)者只需做很少設(shè)計(jì)就可實(shí)現(xiàn)所需系統(tǒng)?;?b class="flag-6" style="color: red">IP的模塊化設(shè)計(jì)可縮短
2019-07-29 08:33:45

FPGA設(shè)計(jì)開發(fā)軟件ISE使用技巧

FPGA設(shè)計(jì)開發(fā)軟件ISE使用技巧 本章目標(biāo)熟悉 ISE 軟件的安裝與啟動(dòng)掌握 ISEFPGA的設(shè)計(jì)流程掌握 ISE創(chuàng)建工程的方式掌握 ISE 下如何編譯和仿真
2010-02-09 09:32:29121

#FPGA點(diǎn)撥 如何驗(yàn)證帶有IP的代碼

fpgaIP代碼
電子技術(shù)那些事兒發(fā)布于 2022-10-12 21:53:35

FPGAROM初始化問題討論

本文討論FPGAROM初始化問題,詳細(xì)介紹mit文件的創(chuàng)建與使用。利用FPGA實(shí)現(xiàn)的ROM只能認(rèn)為器件處于用戶狀態(tài)時(shí)具備ROM功能。使用時(shí)不必要刻意劃分,而ROM單元的初始化則是設(shè)計(jì)人員必須面
2012-02-08 14:24:485265

FPGA學(xué)習(xí):使用matlabISE 創(chuàng)建仿真ROM IP

大家好,又到了每日學(xué)習(xí)的時(shí)間了,今天我們來聊一聊使用matlabISE 創(chuàng)建仿真ROM IP核。本人想使用簡(jiǎn)單的中值濾波進(jìn)行verilog相關(guān)算法的硬件實(shí)現(xiàn),由于HDL設(shè)計(jì)軟件不能直接處理圖像
2018-10-25 20:20:353525

FPGA學(xué)習(xí):使用matlabISE 創(chuàng)建仿真ROM IP

大家好,又到了每日學(xué)習(xí)的時(shí)間了,今天我們來聊一聊使用matlabISE 創(chuàng)建仿真ROM IP核。本人想使用簡(jiǎn)單的中值濾波進(jìn)行verilog相關(guān)算法的硬件實(shí)現(xiàn),由于HDL設(shè)計(jì)軟件不能直接處理圖像
2018-10-25 20:20:354870

已全部加載完成