電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>電子教材>基于Slice的總線宏的簡易設(shè)計

基于Slice的總線宏的簡易設(shè)計

2011-01-15 | rar | 619 KB | 次下載 | 免費(fèi)

資料介紹

針對傳統(tǒng)的實(shí)現(xiàn)FPGA局部動態(tài)可重構(gòu)中總線宏的設(shè)計方法比較復(fù)雜的問題,提出了一種Virtex-5 FPGA局部動態(tài)重構(gòu)中基于Slice的總線宏的簡易設(shè)計方法。在介紹總線宏基本原理的基礎(chǔ)上,分析傳統(tǒng)設(shè)計方法的復(fù)雜性,結(jié)合Virtex-5芯片的結(jié)構(gòu)特點(diǎn),以Xilinx的ISE9.1i和PlanAhead9.2.7開發(fā)軟件為依托,通過宏文件獲取、模塊例化和連線以及放置總線宏來實(shí)現(xiàn)總線宏的設(shè)計。實(shí)驗(yàn)和仿真結(jié)果表明,該方法簡單易行、靈活性強(qiáng),能夠工程化應(yīng)用于Virtex-5 FPGA的局部動態(tài)重構(gòu)設(shè)計中。

Abstract:
?Aiming at the problem that the traditional method of designing and realization the bus macro in FPGA dynamic partial reconfiguration was complicated, a facility method to design the Virtex-5 FPGA bus macro based on Slice-based was proposed. On the basis of introducing bus macro basic principle, the complexity of the traditional design methods was analyzed. Combined with the characteristic of Virtex-5 chip, relied on the Xilinx’ISE9.1i and PlanAhead9.2.7 software, the design of bus macro was realized by getting the macro file,module implement and connecting leads as well as placing the bus macro. The results of experiment and simulation show that this method is sinrple, praticable and flexible, which can be applied to Virtex-5 FPGA dynamic partial reconfiguration’s designing.
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1490次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  92次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  10次下載  |  免費(fèi)
  11. 6基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  12. 0.11 MB  |  4次下載  |  免費(fèi)
  13. 7藍(lán)牙設(shè)備在嵌入式領(lǐng)域的廣泛應(yīng)用
  14. 0.63 MB  |  3次下載  |  免費(fèi)
  15. 89天練會電子電路識圖
  16. 5.91 MB  |  3次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)