電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>單片機(jī)>利用stc15f2k602單片機(jī)制作的光源追蹤

利用stc15f2k602單片機(jī)制作的光源追蹤

2017-05-21 | zip | 54KB | 次下載 | 1積分

資料介紹

利用stc15f2k602單片機(jī)制作的光源追蹤,用芯片內(nèi)部ADC采集四路電壓;利用定時(shí)器模擬二路50HZ的pwm輸出控制兩個(gè)舵機(jī)

  #include “reg51.h”

  #include “intrins.h”

  #include《SHUMA.h》

  #define FOSC 11059200L

  #define BAUD 9600

  typedef unsigned char BYTE;

  typedef unsigned int WORD;

  sbit ENA=P3^0;

  sbit ENB=P3^1;

  sbit k1=P3^2;

  BYTE t=0,a1,a2,b1,b2;

  int a,b ;

  BYTE pwm_1=78; //百分比50

  BYTE pwm_2=78;

  #define URMD 0 //0:使用定時(shí)器2作為波特率發(fā)生器

  //1:使用定時(shí)器1的模式0(16位自動(dòng)重載模式)作為波特率發(fā)生器

  //2:使用定時(shí)器1的模式2(8位自動(dòng)重載模式)作為波特率發(fā)生器

  sfr T2H = 0xd6; //定時(shí)器2高8位

  sfr T2L = 0xd7; //定時(shí)器2低8位

  sfr P1M1 = 0x91; //PxM1.n,PxM0.n =00---》Standard, 01---》push-pull

  sfr P1M0 = 0x92; // =10---》pure input, 11---》open drain

  sfr P0M1 = 0x93;

  sfr P0M0 = 0x94;

  sfr P2M1 = 0x95;

  sfr P2M0 = 0x96;

  sfr P3M1 = 0xB1;

  sfr P3M0 = 0xB2;

  sfr P4M1 = 0xB3;

  sfr P4M0 = 0xB4;

  sfr P5M1 = 0xC9;

  sfr P5M0 = 0xCA;

  sfr P6M1 = 0xCB;

  sfr P6M0 = 0xCC;

  sfr P7M1 = 0xE1;

  sfr P7M0 = 0xE2;

  sfr AUXR = 0x8e; //輔助寄存器

  sfr ADC_CONTR = 0xBC; //ADC控制寄存器

  sfr ADC_RES = 0xBD; //ADC高8位結(jié)果

  sfr ADC_LOW2 = 0xBE; //ADC低2位結(jié)果

  sfr P1ASF = 0x9D; //P1口第2功能控制寄存器

  #define ADC_POWER 0x80 //ADC電源控制位

  #define ADC_FLAG 0x10 //ADC完成標(biāo)志

  #define ADC_START 0x08 //ADC起始控制位

  #define ADC_SPEEDLL 0x00 //540個(gè)時(shí)鐘

  #define ADC_SPEEDL 0x20 //360個(gè)時(shí)鐘

  #define ADC_SPEEDH 0x40 //180個(gè)時(shí)鐘

  #define ADC_SPEEDHH 0x60 //90個(gè)時(shí)鐘
利用stc15f2k602單片機(jī)制作的光源追蹤

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1TC358743XBG評(píng)估板參考手冊
  2. 1.36 MB  |  330次下載  |  免費(fèi)
  3. 2開關(guān)電源基礎(chǔ)知識(shí)
  4. 5.73 MB  |  6次下載  |  免費(fèi)
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計(jì)
  8. 0.60 MB  |  3次下載  |  免費(fèi)
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費(fèi)
  11. 651單片機(jī)窗簾控制器仿真程序
  12. 1.93 MB  |  2次下載  |  免費(fèi)
  13. 751單片機(jī)大棚環(huán)境控制器仿真程序
  14. 1.10 MB  |  2次下載  |  免費(fèi)
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21549次下載  |  免費(fèi)
  9. 5電氣工程師手冊免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動(dòng)電路設(shè)計(jì)》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191185次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183279次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)