電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>電子書籍>VLSI Circuit Design Methodolog

VLSI Circuit Design Methodolog

2009-07-22 | rar | 4301 | 次下載 | 免費(fèi)

資料介紹

CHAPTER 1 THE BIG PICTURE 1
1. What is a chip? 1
2. What are the requirements of a successful chip design? 3
3. What are the challenges in today’s very deep submicron 4
(VDSM), multimillion gate designs?
4. What major process technologies are used in today’s design 5
environment?
5. What are the goals of new chip design? 8
6. What are the major approaches of today’s very large scale 9
integration (VLSI) circuit design practices?
7. What is standard cell-based, application-specific integrated 11
circuit (ASIC) design methodology?
8. What is the system-on-chip (SoC) approach? 12
9. What are the driving forces behind the SoC trend? 15
10. What are the major tasks in developing a SoC chip from 15
concept to silicon?
11. What are the major costs of developing a chip? 16
CHAPTER 2 THE BASICS OF THE CMOS PROCESS 17
AND DEVICES
12. What are the major process steps in building MOSFET 17
transistors?
13. What are the two types of MOSFET transistors? 19
14. What are base layers and metal layers? 20
15. What are wafers and dies? 24
16. What is semiconductor lithography? 28
17. What is a package? 33
CHAPTER 3 THE CHALLENGES IN VLSI CIRCUIT DESIGN 41
18. What is the role of functional verification in the IC 41
design process?
19. What are some of the design integrity issues? 44
20. What is design for testability? 46
21. Why is reducing the chip’s power consumption so important? 48
22. What are some of the challenges in chip packaging? 49
23. What are the advantages of design reuse? 50
24. What is hardware/software co-design? 51
25. Why is the clock so important? 54
26. What is the leakage current problem? 57
27. What is design for manufacturability? 60
28. What is chip reliability? 62
29. What is analog integration in the digital environment? 65
30. What is the role of EDA tools in IC design? 67
31. What is the role of the embedded processor in the SoC 69
environment?
CHAPTER 4 CELL-BASED ASIC DESIGN METHODOLOGY 73
32. What are the major tasks and personnel required in a chip 73
design project?
33. What are the major steps in ASIC chip construction? 74
34. What is the ASIC design flow? 75
35. What are the two major aspects of ASIC design flow? 77
36. What are the characteristics of good design flow? 80
37. What is the role of market research in an ASIC project? 81
38. What is the optimal solution of an ASIC project? 82
39. What is system-level study of a project? 84
40. What are the approaches for verifying design at the 85
system level?
41. What is register-transfer-level (RTL) system-level description? 86
42. What are methods of verifying design at the register-transfer- 87
level?
43. What is a test bench? 88
44. What is code coverage? 89
45. What is functional coverage? 89
46. What is bug rate convergence? 90
47. What is design planning? 91
48. What are hard macro and soft macro? 92
49. What is hardware description language (HDL)? 92
50. What is register-transfer-level (RTL) description of hardware? 93
51. What is standard cell? What are the differences among standard 94
cell, gate-array, and sea-of-gate approaches?
52. What is an ASIC library? 103
53. What is logic synthesis? 105
54. What are the optimization targets of logic synthesis? 106
55. What is schematic or netlist? 107
56. What is the gate count of a design? 111
57. What is the purpose of test insertion during logic synthesis? 111
58. What is the most commonly used model in VLSI circuit testing? 112
59. What are controllability and observability in a digital circuit? 114
60. What is a testable circuit? 115
61. What is the aim of scan insertion? 116
62. What is fault coverage? What is defect part per million (DPPM)? 117
63. Why is design for testability important for a product’s 119
financial success?
64. What is chip power usage analysis? 120
65. What are the major components of CMOS power consumption? 121
66. What is power optimization? 123
67. What is VLSI physical design? 123
68. What are the problems that make VLSI physical design so 124
challenging?
69. What is floorplanning? 128
70. What is the placement process? 131
71. What is the routing process? 133
72. What is a power network? 135
73. What is clock distribution? 139
74. What are the key requirements for constructing a clock tree? 143
75. What is the difference between time skew and length skew in a 145
clock tree?
76. What is scan chain? 149
77. What is scan chain reordering? 151
78. What is parasitic extraction? 152
79. What is delay calculation? 155
80. What is back annotation? 156
81. What kind of signal integrity problems do place and route 156
tools handle?
82. What is cross-talk delay? 157
83. What is cross-talk noise? 158
84. What is IR drop? 159
85. What are the major netlist formats for design representation? 162
86. What is gate-level logic verification before tapeout? 162
87. What is equivalence check? 163
88. What is timing verification? 164
89. What is design constraint? 165
90. What is static timing analysis (STA)? 165
91. What is simulation approach on timing verification? 169
92. What is the logical-effort-based timing closure approach? 173
93. What is physical verification? 178
94. What are design rule check (DRC), design verification (DV), 179
and geometry verification (GV)?
95. What is schematic verification (SV) or layout versus 181
schematic (LVS)?
96. What is automatic test pattern generation (ATPG)? 182
97. What is tapeout? 184
98. What is yield? 184
99. What are the qualities of a good IC implementation designer? 187
Conclusion 189
Acronyms 191
Bibliography 195
Index 199

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1HFSS電磁仿真設(shè)計(jì)應(yīng)用詳解PDF電子教程免費(fèi)下載
  2. 24.30 MB   |  126次下載  |  1 積分
  3. 2H橋中的電流感測(cè)
  4. 545.39KB   |  7次下載  |  免費(fèi)
  5. 3雷達(dá)的基本分類方法
  6. 1.25 MB   |  4次下載  |  4 積分
  7. 4I3C–下一代串行通信接口
  8. 608.47KB   |  3次下載  |  免費(fèi)
  9. 5電感技術(shù)講解
  10. 827.73 KB  |  2次下載  |  免費(fèi)
  11. 6從 MSP430? MCU 到 MSPM0 MCU 的遷移指南
  12. 1.17MB   |  2次下載  |  免費(fèi)
  13. 7有源低通濾波器設(shè)計(jì)應(yīng)用說(shuō)明
  14. 1.12MB   |  2次下載  |  免費(fèi)
  15. 8RA-Eco-RA2E1-48PIN-V1.0開發(fā)板資料
  16. 35.59 MB  |  2次下載  |  免費(fèi)

本月

  1. 12024年工控與通信行業(yè)上游發(fā)展趨勢(shì)和熱點(diǎn)解讀
  2. 2.61 MB   |  763次下載  |  免費(fèi)
  3. 2HFSS電磁仿真設(shè)計(jì)應(yīng)用詳解PDF電子教程免費(fèi)下載
  4. 24.30 MB   |  126次下載  |  1 積分
  5. 3繼電保護(hù)原理
  6. 2.80 MB   |  36次下載  |  免費(fèi)
  7. 4正激、反激、推挽、全橋、半橋區(qū)別和特點(diǎn)
  8. 0.91 MB   |  32次下載  |  1 積分
  9. 5labview實(shí)現(xiàn)DBC在界面加載配置
  10. 0.57 MB   |  21次下載  |  5 積分
  11. 6在設(shè)計(jì)中使用MOSFET瞬態(tài)熱阻抗曲線
  12. 1.57MB   |  15次下載  |  免費(fèi)
  13. 7GBT 4706.1-2024家用和類似用途電器的安全第1部分:通用要求
  14. 7.43 MB   |  13次下載  |  免費(fèi)
  15. 8PADS-3D庫(kù)文件
  16. 2.70 MB   |  10次下載  |  2 積分

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935113次下載  |  10 積分
  3. 2開源硬件-PMP21529.1-4 開關(guān)降壓/升壓雙向直流/直流轉(zhuǎn)換器 PCB layout 設(shè)計(jì)
  4. 1.48MB  |  420061次下載  |  10 積分
  5. 3Altium DXP2002下載入口
  6. 未知  |  233084次下載  |  10 積分
  7. 4電路仿真軟件multisim 10.0免費(fèi)下載
  8. 340992  |  191360次下載  |  10 積分
  9. 5十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  10. 158M  |  183329次下載  |  10 積分
  11. 6labview8.5下載
  12. 未知  |  81578次下載  |  10 積分
  13. 7Keil工具M(jìn)DK-Arm免費(fèi)下載
  14. 0.02 MB  |  73804次下載  |  10 積分
  15. 8LabVIEW 8.6下載
  16. 未知  |  65985次下載  |  10 積分