電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>3G技術(shù)資料>UM2322用于2G和3G蜂窩至云技術(shù)的STM32探索包的詳細(xì)資料說明

UM2322用于2G和3G蜂窩至云技術(shù)的STM32探索包的詳細(xì)資料說明

2018-11-30 | pdf | 0.55 MB | 次下載 | 免費(fèi)

資料介紹

  STM32探索包(P-L496G-CELL01)是一個交鑰匙開發(fā)平臺,基于蜂窩和云技術(shù)的解決方案。該探索包內(nèi)含一個基于STM32L496AGI6的低功耗探索主板和一個帶天線的STMod + 全球蜂窩網(wǎng)絡(luò)2G / 3G的擴(kuò)展板。

?

  ? 基于STM32L496AGI6 Arm?的微控制器,通過UFBGA169封裝,提供1 MB Flash存儲器和320 KB RAM

  ? USB OTG HS

  ? 車載電流測量

  ? SAI音頻編解碼器

  ? ST-MEMS數(shù)字麥克風(fēng)

  ? 8-Mbit PSRAM

  ? 2個用戶LED

  ? 1個用戶按鈕和1個復(fù)位按鈕

  ? 帶選擇按鈕的4向搖桿

  ? 板連接器

  – 攝像頭:8位

  – 帶Micro-AB的USB

  – 立體聲耳機(jī)插孔,包括模擬麥克風(fēng)輸入

  – microSD?卡

  ? 板擴(kuò)展連接器:

  – Arduino? Uno V3

  – STMod+

  ? 板擴(kuò)展特性:

  – Quectel UG96全球蜂窩調(diào)制解調(diào)器五頻2G/3G模塊,7.2 Mbps下行鏈路,5.76 Mbps上行鏈路。

  – 調(diào)制解調(diào)器復(fù)位(紅色LED),調(diào)制解調(diào)器發(fā)送信號(綠色LED)

  – 可切換的SIM接口、eSIM和micro SIM – 用于以下頻率范圍的脈沖2G/3G SMA天線:824 / 900 / 1800 / 1900 / 2100 MHz

  ? 靈活的供電選項(xiàng):ST-LINK、USB VBUS或外部電源

  ? 具有USB重新枚舉功能的板上ST-LINK/V2-1 SWD,TAG調(diào)試器/編程器:大容量存儲器、虛擬COM端口和調(diào)試端口

  ? 提供綜合的免費(fèi)的軟件庫和例程基于STM32Cube軟件包

?

  ? 支持多種集成開發(fā)環(huán)境(IDE),包括IAR?、Keil?、基于GCC的IDE

  特性

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開關(guān)電源設(shè)計
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)