電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>常用軟件>應(yīng)用軟件>Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝實(shí)例解析)

Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝實(shí)例解析)

2017-11-26 | rar | 129.70 MB | 次下載 | 免費(fèi)

資料介紹

  PLD/FPGA 常用開發(fā)軟件——Debussy5.4。

  Debussy是NOVAS Software, Inc(思源科技)發(fā)展的HDL Debug & Analysis tool,這套軟體主要不是用來跑模擬或看波形,它最強(qiáng)大的功能是:能夠在HDL source code、schematic diagram、waveform、state bubble diagram之間,即時(shí)做trace,協(xié)助工程師debug。

  Debussy有四個(gè)主要單元(component),nTrace、nWave、nSchema、nState

  nTrace -- Hypertext source code analysis and browse tool (為Debussy 所開啟的主畫面)

  nWave -- Waveform analysis tool (可由nTrace內(nèi)開啟)

  nSchema -- Hierarchy schematic generator

  nState -- Finite State Machine Extraction and analysis tool

  Debussy本身不含模擬器(simulator),必須呼叫外部模擬器(如Verilog-XL or ModelSim)產(chǎn)生FSDB file,其顯示波形的單元“nWave”透過讀取FSDB file,才能顯示波形或訊號值的變化。

  Debussy安裝教程

  1、首先將Debussy5.4的壓縮包解壓。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  2、解壓完成后,找到Debussy5.4應(yīng)用程序。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  3、雙擊Debussy5.4.exe進(jìn)行安裝。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  4、選擇安裝目錄。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  5、選擇安裝類型,最好選擇typical類型。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  6、選擇相關(guān)配置。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  7、選擇“YES”,Don‘t display this message again。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  8、安裝完成。

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

  9、安裝完成后,可以將快捷方式發(fā)送到桌面。(方便使用)

  Debussy5.4免費(fèi)下載(工程師最常用軟件的安裝破解與實(shí)例解析)

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)