電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>如何使用FPGA實(shí)現(xiàn)高速移動(dòng)下的OFDM均衡器

如何使用FPGA實(shí)現(xiàn)高速移動(dòng)下的OFDM均衡器

2021-01-27 | rar | 0.40 MB | 次下載 | 免費(fèi)

資料介紹

  在高速移動(dòng)下,OFDM系統(tǒng)載波閫正交性被破壞,出現(xiàn)裁波問(wèn)干擾(ICI),嚴(yán)重影響系統(tǒng)性能,必須采用適當(dāng)?shù)木饧夹g(shù)以補(bǔ)償ICI。為了保證通信的有效性和實(shí)時(shí)性要求,使用FPGA實(shí)現(xiàn)了一種低復(fù)雜度的最小均方誤差(MMSE)OFDM均衡器算法。在ISE軟件平臺(tái)上使用Verilog語(yǔ)言編寫程序,并在Xilinx公司Virtex-2實(shí)驗(yàn)板(XC2V930芯片)上對(duì)設(shè)計(jì)進(jìn)行了驗(yàn)證。

  正交頻分復(fù)用(oFDM)是一種正交多載波調(diào)制技術(shù),它將寬帶頻率選擇性衰落信道轉(zhuǎn)換成一系列窄帶平坦衰落信道,在克服信道多徑衰落所引起的碼間干擾,實(shí)現(xiàn)高數(shù)據(jù)傳輸?shù)确矫婢哂歇?dú)特的優(yōu)勢(shì)。但是由于OFDM信號(hào)頻譜重疊,對(duì)信道變化很敏感,在高速移動(dòng)下,信道的時(shí)變特性更加明顯,此時(shí)OFDM系統(tǒng)載波間的正交性會(huì)遭到破壞,出現(xiàn)載波間干擾(ICI),這會(huì)導(dǎo)致系統(tǒng)性能明顯降低。為了消除ICI,必須采用適當(dāng)?shù)木饧夹g(shù)以補(bǔ)償ICI。國(guó)內(nèi)外許多學(xué)者對(duì)這些問(wèn)題進(jìn)行了大量的研究。提出了各種不同的方法,得到了一些階段性成果。提出了一種低復(fù)雜度的迭代MMSE均衡器算法,在保證均衡效果的同時(shí)把運(yùn)算量成功降低到o(N),為該均衡器算法的實(shí)際運(yùn)用奠定了基礎(chǔ)。

  現(xiàn)場(chǎng)可編程門陣列(Field Programmable Gate Ar—ray,F(xiàn)PGA)器件近年來(lái)取得了飛速的發(fā)展,已經(jīng)具有強(qiáng)大的計(jì)算性能和邏輯實(shí)現(xiàn)能力。特別是Xilinx公司的FPGA具有豐富的IP資源,容量大且具有強(qiáng)大的軟件支持,在各個(gè)領(lǐng)域得到了廣泛的應(yīng)用。本文主要討論基于Xilinx公司Virtex-2 FPGA硬件平臺(tái)的均衡器算法中矩陣求逆的運(yùn)算過(guò)程實(shí)現(xiàn)。將程序下載到FPGA,并通過(guò)RS 232將結(jié)果數(shù)據(jù)回送到主機(jī)查看和驗(yàn)證。

?

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)