電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>C語(yǔ)言|源代碼>Verilog HDL的一些練習(xí)題詳細(xì)說(shuō)明

Verilog HDL的一些練習(xí)題詳細(xì)說(shuō)明

2020-10-10 | rar | 0.08 MB | 次下載 | 2積分

資料介紹

本文檔的主要內(nèi)容詳細(xì)介紹的是Verilog HDL的一些練習(xí)題詳細(xì)說(shuō)明。

  我們可以先理解樣板模塊中每一條語(yǔ)句的作用,然后對(duì)樣板模塊進(jìn)行綜合前和綜合后仿真,再獨(dú)立完成每一階段規(guī)定的練習(xí)。當(dāng)十個(gè)階段的練習(xí)做完后,便可以開(kāi)始設(shè)計(jì)一些簡(jiǎn)單的邏輯電路和系統(tǒng)。很快我們就能過(guò)渡到設(shè)計(jì)相當(dāng)復(fù)雜的數(shù)字邏輯系統(tǒng)。當(dāng)然,復(fù)雜的數(shù)字邏輯系統(tǒng)的設(shè)計(jì)和驗(yàn)證,不但需要系統(tǒng)結(jié)構(gòu)的知識(shí)和經(jīng)驗(yàn)的積累,還需要了解更多的語(yǔ)法現(xiàn)象和掌握高級(jí)的 Verilog HDL 系統(tǒng)任務(wù),以及與 C語(yǔ)言模塊接口的方法(即 PLI),這些已超出的本書(shū)的范圍。有興趣的同學(xué)可以閱讀 Verilog 語(yǔ)法參考資料和有關(guān)文獻(xiàn),自己學(xué)習(xí),我們將在下一本書(shū)中介紹 Verilog 較高級(jí)的用法。

  練習(xí)一簡(jiǎn)單的組合邏輯設(shè)計(jì),練習(xí)二簡(jiǎn)單時(shí)序邏輯電路的設(shè)計(jì),練習(xí)三利用條件語(yǔ)句實(shí)現(xiàn)較復(fù)雜的時(shí)序邏輯電路,練習(xí)四設(shè)計(jì)時(shí)序邏輯時(shí)采用阻塞賦值與非阻塞賦值的區(qū)別,練習(xí)五用 always 塊實(shí)現(xiàn)較復(fù)雜的組合邏輯電路,練習(xí)六在 Verilog HDL 中使用函數(shù),練習(xí)七在 Verilog HDL 中使用任務(wù)(task),練習(xí)八利用有限狀態(tài)機(jī)進(jìn)行復(fù)雜時(shí)序邏輯的設(shè)計(jì),練習(xí)九利用狀態(tài)機(jī)的嵌套實(shí)現(xiàn)層次結(jié)構(gòu)化設(shè)計(jì),練習(xí)十通過(guò)模塊之間的調(diào)用實(shí)現(xiàn)自頂向下的設(shè)計(jì)

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1490次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  92次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  10次下載  |  免費(fèi)
  11. 6基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  12. 0.11 MB  |  4次下載  |  免費(fèi)
  13. 7藍(lán)牙設(shè)備在嵌入式領(lǐng)域的廣泛應(yīng)用
  14. 0.63 MB  |  3次下載  |  免費(fèi)
  15. 89天練會(huì)電子電路識(shí)圖
  16. 5.91 MB  |  3次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537791次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233045次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)