電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>嵌入式開發(fā)>嵌入式系統(tǒng)開發(fā)之中斷控制解析

嵌入式系統(tǒng)開發(fā)之中斷控制解析

2017-11-02 | rar | 0.5 MB | 次下載 | 1積分

資料介紹

中斷是現(xiàn)代操作系統(tǒng)的一大特點,在嵌入式系統(tǒng)尤為明顯,中斷函數(shù)在驅(qū)動程序中的作用非常重要,相當于各種函數(shù)的調(diào)度中心。在我們的驅(qū)動程序中數(shù)據(jù)包被接收,數(shù)據(jù)包發(fā)送完畢,buffer分配完成以及其他異常情況都會觸發(fā)中斷而調(diào)用中斷函數(shù)進行處理。其他情況觸發(fā)中斷比較容易理解,下面對buffer分配完成中斷作一些說明。
  現(xiàn)代網(wǎng)絡(luò)芯片為增加數(shù)據(jù)吞吐量,在芯片內(nèi)部都包含一定數(shù)量的buffer緩存發(fā)送和接收的數(shù)據(jù)包,在發(fā)送數(shù)據(jù)時網(wǎng)絡(luò)芯片往往要先分配適當大小的buffer空間,以接收內(nèi)核發(fā)送的數(shù)據(jù),當芯片buffur滿時,這些buffer分配命令(芯片的內(nèi)部寄存器指令)就暫時不能執(zhí)行,如果芯片緩存數(shù)據(jù)因為成功發(fā)送或者接收的數(shù)據(jù)成功傳遞給上層協(xié)議,釋放buffer空間,這時buffer分配命令就可以繼續(xù)執(zhí)行,一旦執(zhí)行成功就觸發(fā)中斷告知系統(tǒng)可以向芯片傳送數(shù)據(jù)了。
  這種機制在現(xiàn)代網(wǎng)絡(luò)芯片中非常常見,這種機制的實現(xiàn)涉及驅(qū)動程序的發(fā)送、接收、中斷控制等函數(shù)。在前面已經(jīng)介紹過,中斷程序是在網(wǎng)絡(luò)設(shè)備初始化被登記的,被觸發(fā)調(diào)用后主要完成三項工作,一是保存中斷現(xiàn)場屏蔽網(wǎng)絡(luò)芯片的其他中斷;二是讀取相關(guān)寄存器信息,根據(jù)寄存器狀態(tài)判斷中斷原因并進行處理;三是恢復(fù)中斷現(xiàn)場。在我們的DM9000_init()函數(shù)中登記的中斷控制程序為DM9000_interrupt(),下面結(jié)合代碼片段簡述功能實現(xiàn)。
  static void DM9000_interrupt(int irq, void * dev_id, struct pt_regs * regs)
  {
  saved_bank = inw( BANK_SELECT );
  DM9000_SELECT_BANK(2);
  saved_pointer = inw( PTR_REG );
  mask = inb( IM_REG );
  outw( 0, INT_REG );
  保存中斷現(xiàn)場,屏蔽網(wǎng)絡(luò)芯片產(chǎn)生的所有中斷,注意中斷屏蔽采用的是硬件屏蔽方式,即靠芯片本身的寄存器來屏蔽中斷,而不是靠內(nèi)核函數(shù)disable_irq()來屏蔽中斷,這種方式執(zhí)行效率要高一些。
  do {
  status = inb( INT_REG ) & mask;
  if (!status )
  break;
  if (status & IM_RCV_INT) {
  DM9000_rcv(dev);
  } else if (status & IM_TX_INT ) {
  DM9000_tx(dev)
  } else if (status & IM_TX_EMPTY_INT ) {
  lp-》stats.collisions += card_stats & 0xF;
  } else if (status & IM_ALLOC_INT ) {
  DM9000_hardware_send_packet( dev );
  } else if (status & IM_RX_OVRN_INT ) {
  lp-》stats.rx_errors++;
  lp-》stats.rx_fifo_errors++;
  } else if (status & IM_MDINT ) {
  DM9000_phy_interrupt(dev);
  }
  } while ( timeout -- );
  這段代碼是中斷控制的關(guān)鍵,讀取中斷狀態(tài)進行比較,針對6種中斷原因進行了相關(guān)處理,這些處理或者調(diào)用相關(guān)函數(shù)完成,或者僅對priv結(jié)構(gòu)中的struct enet_statistics操作,作相關(guān)數(shù)據(jù)統(tǒng)計。
  DM9000_SELECT_BANK( 2 );
  outw( mask 《《 8, INT_REG );
  outb( mask, IM_REG );
  outw( saved_pointer, PTR_REG );
  DM9000_SELECT_BANK( saved_bank );
  這段代碼恢復(fù)中斷現(xiàn)場。
  對于中斷程序還有幾點需要注意就是,DM9000采用的是硬屏蔽模式也就是通過對寄存器操作,實現(xiàn)中斷屏蔽功能。中斷狀態(tài)寄存器和中斷屏蔽寄存器共用一個地址,高位為屏蔽寄存器,低位為狀態(tài)寄存器,狀態(tài)寄存器只讀,所以代碼outw(0,INT_REG)進行的字操作(16位)實際是把屏蔽寄存器全部關(guān)閉,inb(INT_REG)進行的字節(jié)操作(8位)讀入的是狀態(tài)寄存器的內(nèi)容。前面已述及S3C2440芯片沒有端口地址空間,也不提供專門的out、in等端口操作指令。
  基于此,驅(qū)動程序在頭文件中不得不定義一系列宏來完成端口操作。這里使用的Outw和inb就是這樣的宏,由于S3C2440芯片端口和內(nèi)存具有統(tǒng)一的地址空間,所以這些宏進行的其實是地址間的賦值操作。
  DM9000_rcv(dev)
  DM9000_hardware_send_packet( dev )
  DM9000_phy_interrupt(dev)
  DM9000_tx(dev)
  這四個函數(shù)分別對應(yīng)相應(yīng)的中斷處理,第一個是收到數(shù)據(jù)包的處理函數(shù)和第二個是buffer分配成功的處理函數(shù),這兩個函數(shù)在后面的相關(guān)章節(jié)中還會詳細介紹。第三個DM9000_phy_interrupt(dev)處理物理連接引起的中斷,例如失去載波、連接中斷等。第四個DM9000_tx(dev)發(fā)送完成中斷的處理程序,這個函數(shù)本來最重要的功能是釋放已發(fā)送數(shù)據(jù)包占用的buffer,但是由于我們使用了DM9000提供的自動釋放功能,所以這個函數(shù)就只剩處理發(fā)送出現(xiàn)的異常情況(例如多次collision)等的功能了。限于篇幅,第三、第四個函數(shù)就不再詳細介紹。此文章來源于《ARM嵌入式Linux系統(tǒng)開發(fā)技術(shù)詳解》一書
?
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1TC358743XBG評估板參考手冊
  2. 1.36 MB  |  330次下載  |  免費
  3. 2開關(guān)電源基礎(chǔ)知識
  4. 5.73 MB  |  6次下載  |  免費
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計
  8. 0.60 MB  |  3次下載  |  免費
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計與實現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費
  11. 6基于FPGA的C8051F單片機開發(fā)板設(shè)計
  12. 0.70 MB  |  2次下載  |  免費
  13. 751單片機窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費
  15. 8基于51單片機的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費
  7. 4開關(guān)電源設(shè)計實例指南
  8. 未知  |  21548次下載  |  免費
  9. 5電氣工程師手冊免費下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費
  13. 7電子制作實例集錦 下載
  14. 未知  |  8113次下載  |  免費
  15. 8《LED驅(qū)動電路設(shè)計》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191185次下載  |  免費
  13. 7十天學(xué)會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183278次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138040次下載  |  免費