電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>嵌入式開發(fā)>STM32F107VCT6開發(fā)板學(xué)習(xí)問(wèn)題筆記

STM32F107VCT6開發(fā)板學(xué)習(xí)問(wèn)題筆記

2021-03-08 | pdf | 90.0KB | 次下載 | 2積分

資料介紹

使用開發(fā)板的USART作為UART通信,在前調(diào)用BSP_Init()函數(shù)初始化開發(fā)板,串口上傳輸?shù)臄?shù)據(jù)出錯(cuò):'a'變成0xfa或0xfe;

【在這個(gè)問(wèn)題解決之后,回頭總結(jié)下,在解決問(wèn)題時(shí):
1.預(yù)計(jì)有可能造成出錯(cuò)的原因(這個(gè)可能需要一些經(jīng)驗(yàn),但是思維方式需要這樣的猜測(cè),從系統(tǒng)內(nèi)外,可以先羅列出盡可能有的原因,后面再一一排除)
2.盡可能的定位出錯(cuò)的地方(比如這里通過(guò)在線調(diào)試,確定在修改時(shí)鐘后,可以解決數(shù)據(jù)發(fā)送的不正確)
3.推測(cè)并排除次因,找出主因,往深一步探測(cè) 】

1:猜測(cè)原因。
外因:串口接收的過(guò)程受干擾
內(nèi)因:A.端口設(shè)置有問(wèn)題 B.USART的波特率設(shè)置有問(wèn)題

2:定位錯(cuò)誤
在剛開始時(shí)通過(guò)屏蔽BSP_Init()發(fā)現(xiàn)功能正常,再具體定位到BSP_Init()里面的SYSCLK_Frequency時(shí)鐘設(shè)置,發(fā)現(xiàn)例程中默認(rèn)的是72M,但是改為36M,就沒有問(wèn)題,應(yīng)該是時(shí)鐘方面的設(shè)置問(wèn)題,具體的問(wèn)題做何解析?

3:推測(cè)排除,深究
外因內(nèi)因很好排除,數(shù)據(jù)既然在波特率低時(shí)能正常通信,可能不是端口設(shè)置的問(wèn)題,應(yīng)該是波特率的問(wèn)題,??从脩羰謨?cè)該節(jié)的資料

注:
1.CPU 的時(shí)鐘頻率越低,則某一特定波特率的誤差也越低??梢赃_(dá)到的波特率上限可以由這組數(shù)據(jù)得到。
2.只有USART1 使用PCLK2(最高72MHz)。其它USART使用PCLK1(最高36MHz)。
USART的波特率的計(jì)算公式:
USARTDIV是一個(gè)無(wú)符號(hào)的定點(diǎn)數(shù)。這12位的值設(shè)置在USART_BRR寄存器。那么USARTDIV與USART_BRR的關(guān)系又是怎么樣的?
加入U(xiǎn)SARTDIV=27.75;那么USART_BRR=0x1B(27)+0XC(0.75*16)=0X1BC;同理可以從USART_BRR推出USARTDIV的值;
假設(shè)fck=36000000;Tx=115200;=>USARTDIV=19.53125;所以0x13+(0.53125*16=8.5)=>0x13+0x9=0x139;所以實(shí)際上是0x139產(chǎn)生的波特率:115015.97(誤差率為0.15%);
===》理論最大波特率:36000000/(16*1.0)=2.25M(USARTDIV最小為1.0)
繼續(xù)往下查,對(duì)于SYSCLK_Frequency最高72M,運(yùn)行應(yīng)該沒有問(wèn)題,但是目前有問(wèn)題;順藤摸瓜,查看各個(gè)時(shí)鐘控制器,發(fā)現(xiàn)時(shí)鐘設(shè)置路徑:HSE-->PREDIV2-->PLL2-->PREDIV1-->PLL-->SYSCLK;
發(fā)現(xiàn)其中有問(wèn)題:HSE(25M),PREDIV2(源代碼設(shè)置是2分頻),PLL2(8倍頻),PREDIV1(9倍頻),SYSCLK是算不出72M的,這時(shí)72M的算法其實(shí)已經(jīng)超過(guò)72M,所以給USART2時(shí),波特率計(jì)算時(shí)會(huì)出現(xiàn)問(wèn)題;將PREDIV2(改為5分頻就對(duì)了);
這就是為什么調(diào)用BSP_Init()或者SystemInit會(huì)出問(wèn)題,他們都調(diào)用SetSysClock(),再調(diào)用SetSysClockTo72()【宏定義72M】,同時(shí)將系統(tǒng)時(shí)鐘改為36M時(shí)不會(huì)出問(wèn)題,因?yàn)榇藭r(shí)調(diào)用的不是出問(wèn)題的SetSysClockTo72(),而在之前用的是出錯(cuò)的SetSysClockTo72()卻沒有發(fā)現(xiàn)問(wèn)題,是因?yàn)橄到y(tǒng)時(shí)鐘雖沒有在預(yù)設(shè)值,但是系統(tǒng)還足以正常工作,但是一旦添加串口,波特率設(shè)置就會(huì)有很大的偏差,數(shù)據(jù)傳輸就出錯(cuò)。

1:參考代碼其實(shí)里面是有很多問(wèn)題的
2:我們應(yīng)該盡可能的多閱讀參考代碼,利用其中的資源,避免重復(fù)造輪子。

2:用串口終端軟件顯示字符串,連續(xù)發(fā)送幾個(gè)字符串時(shí),“xxxx/n”,包含了換行轉(zhuǎn)義字符,但是就是不會(huì)換行?

通過(guò)調(diào)試,發(fā)現(xiàn)在存儲(chǔ)空間,轉(zhuǎn)義字符‘/n’是正確的0x0a,

USART_SendString(USART2,Menu[0]);
USART_SendString(USART2,Menu[1]);
USART_SendString(USART2,Menu[2]);
USART_SendString(USART2,Menu[3]);

但是不連續(xù)發(fā)送就不會(huì)出現(xiàn)這種現(xiàn)象,或者一句句調(diào)試打印也不會(huì)出現(xiàn)不換行的現(xiàn)象,斷定是連續(xù)發(fā)送的時(shí)間間隔太短,軟件還沒來(lái)得及換行,就又重新顯示新數(shù)據(jù),那么就在USART_SendString的尾部加了延時(shí),就解決問(wèn)題了。

來(lái)源:http://www.51hei.com/stm32/4117.html

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1TC358743XBG評(píng)估板參考手冊(cè)
  2. 1.36 MB  |  330次下載  |  免費(fèi)
  3. 2開關(guān)電源基礎(chǔ)知識(shí)
  4. 5.73 MB  |  6次下載  |  免費(fèi)
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計(jì)
  8. 0.60 MB  |  3次下載  |  免費(fèi)
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費(fèi)
  11. 6基于FPGA的C8051F單片機(jī)開發(fā)板設(shè)計(jì)
  12. 0.70 MB  |  2次下載  |  免費(fèi)
  13. 751單片機(jī)窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費(fèi)
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21548次下載  |  免費(fèi)
  9. 5電氣工程師手冊(cè)免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動(dòng)電路設(shè)計(jì)》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191185次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183278次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)