電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>嵌入式開發(fā)>單片機(jī)PID 運(yùn)算實現(xiàn)直流電機(jī)速度控制資料下載

單片機(jī)PID 運(yùn)算實現(xiàn)直流電機(jī)速度控制資料下載

2021-04-07 | pdf | 161.89KB | 次下載 | 2積分

資料介紹

設(shè)計中采用了專門的芯片組成了PWM信號的發(fā)生系統(tǒng)并且對PWM信號的原理、產(chǎn)生方法以及如何通過軟件編程對PWM信號占空比進(jìn)行調(diào)節(jié)從而控制其輸入信號波形等均作了詳細(xì)的闡述。另外本系統(tǒng)中使用了紅外對管對直流電機(jī)的轉(zhuǎn)速進(jìn)行測量,經(jīng)過整形電路后將測量值送到單片機(jī),并且最終作為反饋值輸入到單片機(jī)進(jìn)行PID運(yùn)算從而實現(xiàn)了對直流電機(jī)速度的控制。在軟件方面,文章中詳細(xì)介紹了PID運(yùn)算程序初始化程序等的編寫思路和具體的程序?qū)崿F(xiàn)。 1 單片機(jī)最小系統(tǒng):單片機(jī)最小系統(tǒng)由51單片機(jī),晶振電路,復(fù)位電路,電源組成。大家都比較熟悉,這里不再贅述。 2 四位數(shù)碼管顯示:在應(yīng)用系統(tǒng)中,設(shè)計要求不同,使用的LED顯示器的位數(shù)也不同,因此就生產(chǎn)了位數(shù),尺寸,型號不同的LED顯示器供選擇,在本設(shè)計中,選擇4位一體的數(shù)碼型LED顯示器,簡稱“4-LED”。本系統(tǒng)中前三位顯示電壓的整數(shù)位,最后一位顯示轉(zhuǎn)速的小數(shù)位。4-LED顯示器引腳如圖2所示,是一個共陰極接法的4位LED數(shù)碼顯示管,其中a,b,c,e,f,g為4位LED各段的公共輸出端,1、2、3、4分別是每一位的位數(shù)選端,dp是小數(shù)點引出端,4位一體LED數(shù)碼顯示管的內(nèi)部結(jié)構(gòu)是由4個單獨的LED組成,每個LED的段輸出引腳在內(nèi)部都并聯(lián)后,引出到器件的外部。 3 電機(jī)驅(qū)動電路:電機(jī)驅(qū)動電中是采用ULN2003來驅(qū)動。ULN2003是高耐壓、大電流達(dá)林頓陳列,由七個硅NPN達(dá)林頓管組成。該電路的特點:ULN2003的每一對達(dá)林頓都串聯(lián)一個2.7K的基極電阻,在5V的工作電壓下它能與TTL和CMOS電路直接相連,可以直接處理原先需要標(biāo)準(zhǔn)邏輯緩沖器來處理的數(shù)據(jù),輸入5VTTL電平,輸出可達(dá)500mA/50V。ULN2003的引腳圖,其中IN1~IN7為輸入控制端;OUT1~OUT7為輸出端;8腳為芯片的接地端;9腳為公共端,該腳是內(nèi)部7個續(xù)流二極管負(fù)極的公共端,各二極管的正極分別接各達(dá)林頓管的集電極。用于感性負(fù)載時,該腳接負(fù)載電源正極,實現(xiàn)續(xù)流作用。如果該腳接地,實際上就是達(dá)林頓管的集電極對地接通。 當(dāng)P1.0中為高電平時,其內(nèi)部三極管導(dǎo)通,使電機(jī)轉(zhuǎn)動。當(dāng)P1.0為低電平時,內(nèi)部三極管截止,電路斷開,電機(jī)停止轉(zhuǎn)動。所以在程序中可以利用P1.0口輸出PWM波來控制電機(jī)的轉(zhuǎn)速。 4 紅外測速電路:發(fā)射管工作時發(fā)出紅外線,當(dāng)接收管收到紅外信號時,其電阻變小(本設(shè)計相當(dāng)于從無窮大變到1k左右)。利用其電阻變化,改變接收管分壓情況。擋片是利用圓盤上剪四個孔,當(dāng)擋片隨電機(jī)轉(zhuǎn)動時,接收管兩端電平發(fā)生變化,產(chǎn)生脈沖。 5 整形電路:本設(shè)計的整形電路是用555定時器接成的施密特觸發(fā)器。 6 源程序: #include "reg52.h" #define uchar unsigned char #define uint unsigned int uchar code table[10]={0x3f,0x06,0x5b, 0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //共陰數(shù)碼管顯示碼(0-9) sbit xiaoshudian=P0^7; sbit wei1=P2^4; //數(shù)碼管位選定義 sbit wei2=P2^5; sbit wei3=P2^6; sbit wei4=P2^7; sbit beep=P2^3; //蜂鳴器控制端 sbit motor = P1^0; //電機(jī)控制 sbit s1_jiasu = P1^4; //加速按鍵 sbit s2_jiansu= P1^5; //減速按鍵 sbit s3_jiting=P1^6; //停止/開始按鍵 uint pulse_count; //INT0接收到的脈沖數(shù) uint num=0; //num相當(dāng)于占空比調(diào)節(jié)的精度 uchar speed[3]; //四位速度值存儲 float bianhuasudu; //當(dāng)前速度(理論計算值) float reallyspeed; //實際測得的速度 float vv_min=0.0;vv_max=250.0; float vi_Ref=60.0; //給定值 float vi_PreError,vi_PreDerror; uint pwm=100; //相當(dāng)于占空比標(biāo)志變量 int sample_time=0; //采樣標(biāo)志 float v_kp=1.2,v_ki=0.6,v_kd=0.2; //比例,積分,微分常數(shù) void delay (uint z) { uint x,y; for(x=z;x>0;x--) for (y=20;y>0;y--); } void time_init() { ET1=1; //允許定時器T1中斷 ET0=1; //允許定時器T0中斷
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1TC358743XBG評估板參考手冊
  2. 1.36 MB  |  330次下載  |  免費
  3. 2開關(guān)電源基礎(chǔ)知識
  4. 5.73 MB  |  6次下載  |  免費
  5. 3100W短波放大電路圖
  6. 0.05 MB  |  4次下載  |  3 積分
  7. 4嵌入式linux-聊天程序設(shè)計
  8. 0.60 MB  |  3次下載  |  免費
  9. 5基于FPGA的光纖通信系統(tǒng)的設(shè)計與實現(xiàn)
  10. 0.61 MB  |  2次下載  |  免費
  11. 6基于FPGA的C8051F單片機(jī)開發(fā)板設(shè)計
  12. 0.70 MB  |  2次下載  |  免費
  13. 751單片機(jī)窗簾控制器仿真程序
  14. 1.93 MB  |  2次下載  |  免費
  15. 8基于51單片機(jī)的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33564次下載  |  免費
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費
  7. 4開關(guān)電源設(shè)計實例指南
  8. 未知  |  21548次下載  |  免費
  9. 5電氣工程師手冊免費下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費
  13. 7電子制作實例集錦 下載
  14. 未知  |  8113次下載  |  免費
  15. 8《LED驅(qū)動電路設(shè)計》 溫德爾著
  16. 0.00 MB  |  6653次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537796次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191185次下載  |  免費
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183278次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138040次下載  |  免費