電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>IC資料>IC中文資料>C8051F120和C8051F130系列混合信號ISP FLASH微控制器的數(shù)據(jù)手冊

C8051F120和C8051F130系列混合信號ISP FLASH微控制器的數(shù)據(jù)手冊

2019-07-08 | pdf | 2.06 MB | 次下載 | 1積分

資料介紹

  C8051F12x 和 C8051F13x 系列器件是完全集成的混合信號片上系統(tǒng)型 MCU 芯片,具有 64 個數(shù)字 I/O 引腳(100 腳 TQFP 封裝)或 32 個數(shù)字 I/O 引腳(64 腳 TQFP 封裝)。下面列出了一些主要特性;有關(guān)某一產(chǎn)品的具體特性參見表 1.1。

  高速、流水線結(jié)構(gòu)的 8051 兼容的 CIP-51 內(nèi)核(100MIPS 或 50MIPS)

  全速、非侵入式的在系統(tǒng)調(diào)試接口(片內(nèi))

  真正 12 位或 10 位、100 ksps 的 ADC,帶 PGA 和 8 通道模擬多路開關(guān)

  真正 8 位 500 ksps 的 ADC,帶 PGA 和 8 通道模擬多路開關(guān)(僅 C8051F12x)

  兩個 12 位 DAC,具有可編程數(shù)據(jù)更新方式(僅 C8051F12x)

  2 周期的 16 x 16 乘法和累加引擎(僅 C8051F120/1/2/3 和 C8051F130/1/2/3)

  128KK 或 64KB 可在系統(tǒng)編程的 FLASH 存儲器

  8448(8K+256)字節(jié)的片內(nèi) RAM

  可尋址 64KB 地址空間的外部數(shù)據(jù)存儲器接口

  硬件實現(xiàn)的 SPI、SMBus/ I2和兩個 UART 串行接口

  5 個通用的 16 位定時器

  具有 6 個捕捉/比較模塊的可編程計數(shù)器/定時器陣列

  片內(nèi)看門狗定時器、VDD 監(jiān)視器和溫度傳感器

  具有片內(nèi) VDD 監(jiān)視器、看門狗定時器和時鐘振蕩器的 C8051F12x 和 C8051F13x 器件是真正能獨立工作的片上系統(tǒng)。所有模擬和數(shù)字外設均可由用戶固件使能/禁止和配置。FLASH 存儲器還具有在系統(tǒng)重新編程能力,可用于非易失性數(shù)據(jù)存儲,并允許現(xiàn)場更新 8051 固件。

  片內(nèi) JTAG 調(diào)試電路允許使用安裝在最終應用系統(tǒng)上的產(chǎn)品 MCU 進行非侵入式(不占用片內(nèi)資源)、全速、在系統(tǒng)調(diào)試。該調(diào)試系統(tǒng)支持觀察和修改存儲器和寄存器,支持斷點、觀察點、單步及運行和停機命令。在使用 JTAG 調(diào)試時,所有的模擬和數(shù)字外設都可全功能運行。

  每個 MCU 都可在工業(yè)溫度范圍(-45℃到+85℃)工作。端口 I/O、/RST 和 JTAG 引腳都容許 5V 的輸入信號電壓。有 100 腳 TQFP 封裝和 64 腳 TQFP 封裝。表 1.1 列出了每個器件的特性和封裝。圖 1.1 ~ 圖 1.6 給出了每種器件的功能框圖。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1TC358743XBG評估板參考手冊
  2. 1.36 MB  |  330次下載  |  免費
  3. 2開關(guān)電源基礎知識
  4. 5.73 MB  |  11次下載  |  免費
  5. 3嵌入式linux-聊天程序設計
  6. 0.60 MB  |  3次下載  |  免費
  7. 4DIY動手組裝LED電子顯示屏
  8. 0.98 MB  |  3次下載  |  免費
  9. 5基于FPGA的C8051F單片機開發(fā)板設計
  10. 0.70 MB  |  2次下載  |  免費
  11. 651單片機窗簾控制器仿真程序
  12. 1.93 MB  |  2次下載  |  免費
  13. 751單片機PM2.5檢測系統(tǒng)程序
  14. 0.83 MB  |  2次下載  |  免費
  15. 8基于51單片機的RGB調(diào)色燈程序仿真
  16. 0.86 MB  |  2次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費
  3. 2555集成電路應用800例(新編版)
  4. 0.00 MB  |  33566次下載  |  免費
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費
  7. 4開關(guān)電源設計實例指南
  8. 未知  |  21549次下載  |  免費
  9. 5電氣工程師手冊免費下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費
  11. 6數(shù)字電路基礎pdf(下載)
  12. 未知  |  13750次下載  |  免費
  13. 7電子制作實例集錦 下載
  14. 未知  |  8113次下載  |  免費
  15. 8《LED驅(qū)動電路設計》 溫德爾著
  16. 0.00 MB  |  6656次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537798次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420027次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191186次下載  |  免費
  13. 7十天學會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183279次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138040次下載  |  免費