電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>電子資料>使用PetaLinux 2019.2的Zynqberry Pi操作系統(tǒng)

使用PetaLinux 2019.2的Zynqberry Pi操作系統(tǒng)

2023-06-19 | zip | 0.00 MB | 次下載 | 免費(fèi)

資料介紹

描述

Zynqberry 一直是我最喜歡的開發(fā)板之一,到目前為止,我覺得我主要只是花時(shí)間將我的基礎(chǔ)設(shè)計(jì)更新到最新版本的 Xilinx IDE,然后才再次擺脫它。幸運(yùn)的是,這一次我對版本 2019.2 的更新非常順利,以至于我的小 Zynqberry 已經(jīng)準(zhǔn)備好種植自己的小項(xiàng)目花園(對不起,我無法抗拒可怕的雙關(guān)語)。

我的第一個(gè)想法自然是如何開始移植我以前的 Raspberry Pi 項(xiàng)目,因?yàn)?Zynqberry 被設(shè)計(jì)為 Raspberry Pi FPGA 的替代品。我在之前的項(xiàng)目中創(chuàng)建的 Zynqberry 基礎(chǔ)設(shè)計(jì)涵蓋了使用 Zynqberry 板上所有外設(shè)所需的硬件框架。通過與 Raspberry Pi 等效的硬件設(shè)計(jì),這使得主要區(qū)別在于 Zynqberry 上的嵌入式 Linux 映像。

為了使 Zynqberry 上的操作系統(tǒng)與常見的 Raspberry Pi 映像(如 Raspbian)更加模棱兩可,安裝 Python 及其功能(如軟件包安裝程序 pip)是我的第一步。

pYYBAGOIOreABsojAACEKbYG_aw962.png
Yocto 中提供的 Python3 bitbake 配方。
?

構(gòu)建 PetaLinux 環(huán)境的 Yocto 框架包含 bitbake 配方,允許幾乎所有標(biāo)準(zhǔn) Linux 庫包含在構(gòu)建中。

要將庫添加到您的構(gòu)建中,請將行“CONFIG_”添加到位于/project-spec/meta-user/conf/目錄中的user-rootfsconfig文件。

# System tools
CONFIG_gsl
CONFIG_nano
CONFIG_cmake

# Python3
CONFIG_python3
CONFIG_python3-pip
CONFIG_python3-cffi
CONFIG_python3-numpy
CONFIG_python3-shell
CONFIG_python3-pyserial
CONFIG_python3-threading
CONFIG_python3-multiprocessing

我選擇添加 Python3 包,因?yàn)槲业拇蠖鄶?shù) Raspberry Pi 項(xiàng)目都基于依賴于 Python3 的 Adafruit 的 CircuitPython。我注意到我在 Raspberry Pi 上使用的其他一些常用庫/工具是 cmake(用于構(gòu)建和打包軟件的開源工具集)、GSL(GNU 科學(xué)庫)和 nano(文本編輯器)。

將庫添加到項(xiàng)目后,通過運(yùn)行根文件系統(tǒng)的配置編輯器在映像中啟用它們:

petalinux-config -c rootfs

然后在用戶包下,選擇每個(gè)庫并使用“Y”鍵啟用它們。

pYYBAGOIOrmABK37AABYL-Ke6W8824.png
啟用嵌入式 Linux 映像中的庫。
?

由于包管理器(如 Python 的 pip)會在安裝指定包時(shí)自動解決任何缺失的依賴項(xiàng),因此需要互聯(lián)網(wǎng)連接。要啟用以太網(wǎng)端口并使其在 Linux 用戶空間中可用,需要編輯內(nèi)核并創(chuàng)建基本 TCP/IP 網(wǎng)絡(luò)初始化腳本和配置文件的核心配方。

要編輯內(nèi)核,請運(yùn)行內(nèi)核配置編輯器:

petalinux-config -c kernel

使用以下選項(xiàng)配置內(nèi)核:

CONFIG_MII=y
CONFIG_XILINX_GMII2RGMII=y
CONFIG_USB_USBNET=y
CONFIG_USB_NET_AX8817X=y
CONFIG_USB_NET_AX88179_178A=y
CONFIG_USB_NET_CDCETHER=y
# CONFIG_USB_NET_CDC_EEM is not set
CONFIG_USB_NET_CDC_NCM=y
# CONFIG_USB_NET_HUAWEI_CDC_NCM is not set
# CONFIG_USB_NET_CDC_MBIM is not set
# CONFIG_USB_NET_DM9601 is not set
# CONFIG_USB_NET_SR9700 is not set
# CONFIG_USB_NET_SR9800 is not set
# CONFIG_USB_NET_SMSC75XX is not set
CONFIG_USB_NET_SMSC95XX=y
# CONFIG_USB_NET_GL620A is not set
CONFIG_USB_NET_NET1080=y
# CONFIG_USB_NET_PLUSB is not set
# CONFIG_USB_NET_MCS7830 is not set
# CONFIG_USB_NET_RNDIS_HOST is not set
CONFIG_USB_NET_CDC_SUBSET_ENABLE=y
CONFIG_USB_NET_CDC_SUBSET=y
# CONFIG_USB_ALI_M5632 is not set
# CONFIG_USB_AN2720 is not set
CONFIG_USB_BELKIN=y
CONFIG_USB_ARMLINUX=y
# CONFIG_USB_EPSON2888 is not set
# CONFIG_USB_KC2190 is not set
CONFIG_USB_NET_ZAURUS=y
# CONFIG_USB_NET_CX82310_ETH is not set
# CONFIG_USB_NET_KALMIA is not set
# CONFIG_USB_NET_QMI_WWAN is not set
# CONFIG_USB_NET_INT51X1 is not set
# CONFIG_USB_SIERRA_NET is not set
# CONFIG_USB_VL600 is not set
# CONFIG_USB_NET_CH9200 is not set
CONFIG_FB_SIMPLE=y
# CONFIG_FRAMEBUFFER_CONSOLE is not set
CONFIG_SND_SIMPLE_CARD_UTILS=y
CONFIG_SND_SIMPLE_CARD=y
CONFIG_USBIP_CORE=y
# CONFIG_USBIP_VHCI_HCD is not set
# CONFIG_USBIP_HOST is not set
# CONFIG_USBIP_VUDC is not set
# CONFIG_USBIP_DEBUG is not set

為基本 TCP/IP 網(wǎng)絡(luò)初始化腳本和配置文件的核心配方創(chuàng)建目錄:

mkdir project path>/project-spec/meta-user/recipes-core/init-ifupdown/init-ifupdown-1.0

創(chuàng)建接口文件,

cat ./interfaces

并向其中添加以下內(nèi)容:

auto lo
iface lo inet loopback
## Static IP example
#auto eth0
#iface eth0 inet static
#        address 192.168.1.190
#        netmask 255.255.255.0
#        network 192.168.1.0
#        gateway 192.168.1.1
## DHCP Example
auto eth0
iface eth0 inet dhcp

然后在/project-spec/meta-user/recipes-core/init-ifupdown目錄中為 init-ifupdown 包創(chuàng)建名為init-ifupdown_1.0.bbappend的 bitbake 文件,并將以下行添加到它:

FILESEXTRAPATHS_prepend := "${THISDIR}/init-ifupdown-1.0:"

內(nèi)核需要通過設(shè)備樹指定給它的以太網(wǎng)硬件的鉤子。在/project-spec/meta-user/recipes-bsp/device-tree/files/目錄中編輯用戶可配置的設(shè)備樹源文件 system-user.dtsi 。以下是 Zynqberry 上所有外圍設(shè)備的最全面的設(shè)備樹:

/include/ "system-conf.dtsi"
/ {

    aliases{
        eth0 = &usb0;    
    };

};

/ {
    #address-cells = <1>;
    #size-cells = <1>;

    reserved-memory {
        #address-cells = <1>;
        #size-cells = <1>;
        ranges;
        hdmi_fb_reserved_region@1FC00000 {
            compatible = "removed-dma-pool";
            no-map;
            // 512M (M modules)
            reg = <0x1FC00000 0x400000>;
            // 128M (R modules)
            //reg = <0x7C00000 0x400000>;
            };
        camera_fb_reserved_region@1FC00000 {
            compatible = "removed-dma-pool";
            no-map;
            // 512M (M modules)
            reg = <0x1FC00000 0x400000>;
            // 128M (R modules)    
            //reg = <0x7800000 0x400000>;
        };
    };

    hdmi_fb: framebuffer@0x1FC00000 {           // HDMI out
        compatible = "simple-framebuffer";
        // 512M (M modules)
        reg = <0x1FC00000 (1280 * 720 * 4)>;    // 720p
        // 128M (R modules)
        //reg = <0x7C00000 (1280 * 720 * 4)>;   // 720p
        width = <1280>;                         // 720p
        height = <720>;                         // 720p
        stride = <(1280 * 4)>;                  // 720p
        format = "a8b8g8r8";
        status = "okay";
    };

    camera_fb: framebuffer@0x1FC00000 {         // CAMERA in
        compatible = "simple-framebuffer";
        // 512M (M modules)
        reg = <0x1FC00000 (1280 * 720 * 4)>;    // 720p
        // 128M (R modules)
        //reg = <0x7800000 (1280 * 720 * 4)>;   // 720p
        width = <1280>;                         // 720p
        height = <720>;                         // 720p
        stride = <(1280 * 4)>;                  // 720p
        format = "a8b8g8r8";
    };

    vcc_3V3: fixedregulator@0 {
        compatible = "regulator-fixed";
        regulator-name = "vccaux-supply";
        regulator-min-microvolt = <3300000>;
        regulator-max-microvolt = <3300000>;
        regulator-always-on;
    };
};

&qspi {
    #address-cells = <1>;
    #size-cells = <0>;
    status = "okay";

    flash0: flash@0 {
        compatible = "jedec,spi-nor";
        reg = <0x0>;
        #address-cells = <1>;
        #size-cells = <1>;
        spi-max-frequency = <50000000>;

        partition@0x00000000 {
        label = "boot";
        reg = <0x00000000 0x00500000>;
        };

        partition@0x00500000 {
            label = "bootenv";
            reg = <0x00500000 0x00020000>;
        };

        partition@0x00520000 {
            label = "kernel";
            reg = <0x00520000 0x00a80000>;
        };

        partition@0x00fa0000 {
            label = "spare";    
            reg = <0x00fa0000 0x00000000>;
        };
    };
};

/*
* We need to disable Linux VDMA driver as VDMA
* already configured in FSBL
*/
&video_in_axi_vdma_0 {
    status = "disabled";
};

&video_out_axi_vdma_0 {
    status = "disabled";
};

&video_out_v_tc_0 {
    //xilinx-vtc: probe of 43c20000.v_tc failed with error -2
    status = "disabled";
};

&gpio0 {
    interrupt-controller;
    #interrupt-cells = <2>;
};

&i2c1 {
    #address-cells = <1>;
    #size-cells = <0>;
    i2cmux0: i2cmux@70  {
        compatible = "nxp,pca9544";
        #address-cells = <1>;
        #size-cells = <0>;
        reg = <0x70>;

        i2c1@0 {
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <0>;

            id_eeprom@50 {
                compatible = "atmel,24c32";
                reg = <0x50>;
            };
        };

        i2c1@1 {    // Display Interface Connector
            #address-cells = <1>;    
            #size-cells = <0>;
            reg = <1>;
        };

        i2c1@2 {    // HDMI Interface Connector
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <2>;
        };

        i2c1@3 {    // Camera Interface Connector
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <3>;
        };
    };
};

/{
    usb_phy0: usb_phy@0 {
        compatible = "ulpi-phy";
        #phy-cells = <0>;
        reg = <0xe0002000 0x1000>;
        view-port = <0x0170>;
        drv-vbus;
    };
};

&usb0 {
    usb-phy = <&usb_phy0>;
};

/*
* Sound configuration
*/
/{
    // Custom driver based on spdif-transmitter
    te_audio: dummy_codec_te {
        compatible = "te,te-audio";
        #sound-dai-cells = <0>;
    };

    // Simple Audio Card from AXI_I2S and custom XADC audio input and
    // PWM audio output cores
    sound {
        compatible = "simple-audio-card";
        simple-audio-card,name = "TE0726-PWM-Audio";
        simple-audio-card,format = "i2s";
        simple-audio-card,widgets =
        "Microphone", "In Jack",
        "Line", "Line In Jack",
        "Line", "Line Out Jack",
        "Headphone", "Out Jack";
        simple-audio-card,routing =
        "Out Jack", "te-out",
        "te-in", "In Jack";

        simple-audio-card,cpu {
            sound-dai = <&audio_axi_i2s_adi_0>;
        };

        simple-audio-card,codec {
            sound-dai = <&te_audio>;
        };
    };
};

&audio_axi_i2s_adi_0 {
    compatible = "adi,axi-i2s-1.00.a";
    reg = <0x43c00000 0x1000>;
    clocks = <&clkc 15>, <&clkc 18>; // FCLK_CLK0, FCLK_CLK3
    clock-names = "axi", "ref";
    dmas = <&dmac_s 0 &dmac_s 1>;
    dma-names = "tx", "rx";
    #sound-dai-cells = <0>;
};

/*
* We need to disable Linux XADC driver to use XADC for audio recording
*/
&adc {
    status = "disabled";
};

Zynqberry 板上的 Zynq 芯片無法直接訪問以太網(wǎng)端口。四個(gè) USB 端口和以太網(wǎng)端口實(shí)際上連接到 USB PHY 集線器,該集線器連接到 Zynq 芯片的 USB0 MIO 端口。這就是以太網(wǎng)設(shè)備 eth0 綁定到設(shè)備樹中 USB0 節(jié)點(diǎn)的原因。

此時(shí),保存并關(guān)閉所有文件,然后構(gòu)建項(xiàng)目。

petalinux-build

打包啟動映像并使用 Vitis 將其編程到 Zynqberry 的 QSPI 閃存中。然后將內(nèi)核、設(shè)備樹 blob 和根文件系統(tǒng)復(fù)制到 SD 卡。(我已經(jīng)在我的上一篇 Zynqberry 項(xiàng)目帖子中介紹了這些步驟

啟動 Zynqberry 并使用默認(rèn)用戶名“root”和默認(rèn)密碼“root”登錄(除非您選擇在系統(tǒng)配置編輯器中更改它)。您可以將 Zynqberry 的以太網(wǎng)端口直接插入路由器,或者使用 PC 上的互聯(lián)網(wǎng)共享。我選擇了后一個(gè)選項(xiàng),因?yàn)槲业穆酚善鞑辉谖覠o法訪問的修道院位置。由于 Ubuntu 在我計(jì)算機(jī)上的虛擬機(jī)中運(yùn)行,因此我也將這部分切換回 Mac 端。

通過 USB-C 轉(zhuǎn)以太網(wǎng)橋適配器,我將其配置為 Zynqberry 和我的 MacBook 之間的迷你路由器。為此,我通過為 LAN 網(wǎng)橋提供與我在 Zynqberry 上配置接口文件相同的網(wǎng)絡(luò)和子網(wǎng)掩碼上的不同 IP 地址。

旁注:不要將路由器的網(wǎng)關(guān)地址添加到 LAN 網(wǎng)橋配置中,這將與您的計(jì)算機(jī)與路由器的連接發(fā)生沖突。

pYYBAGOIOryAVXRuAAOCC2BeA44711.png
USB LAN 配置充當(dāng)我的 MacBook 和 Zynqberry 之間的路由器。
?

然后我啟用了 LAN 網(wǎng)橋的 Internet 共享:

poYBAGOIOsCAEbn_AAP-GJ_4Ij8955.png
在系統(tǒng)偏好設(shè)置的共享選項(xiàng)卡下,啟用使用 USB LAN 連接的互聯(lián)網(wǎng)共享。
?

為了測試 MacBook 和 Zynqberry 之間的初始連接,我打開了一個(gè)終端窗口并將我的路由器通過 DHCP 分配給 Zynqberry 的 IP 地址 ping 通:

pYYBAGOIOs6AEkUZAAZaWVIr454035.png
左邊是OS X終端,右邊是Zynqberry的串口終端。
?

然后我通過安裝一個(gè)基本的 Adafruit 庫來測試互聯(lián)網(wǎng)連接:

pip3 install adafruit-blinka

請繼續(xù)關(guān)注我的下一個(gè)項(xiàng)目,內(nèi)容包括如何在 Zynqberry 上安裝 Adafruit 的 CircuitPython!

pYYBAGOIOtOAA91UAAb5vupRGJQ182.png
?

?


下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1山景DSP芯片AP8248A2數(shù)據(jù)手冊
  2. 1.06 MB  |  532次下載  |  免費(fèi)
  3. 2RK3399完整板原理圖(支持平板,盒子VR)
  4. 3.28 MB  |  339次下載  |  免費(fèi)
  5. 3TC358743XBG評估板參考手冊
  6. 1.36 MB  |  330次下載  |  免費(fèi)
  7. 4DFM軟件使用教程
  8. 0.84 MB  |  295次下載  |  免費(fèi)
  9. 5元宇宙深度解析—未來的未來-風(fēng)口還是泡沫
  10. 6.40 MB  |  227次下載  |  免費(fèi)
  11. 6迪文DGUS開發(fā)指南
  12. 31.67 MB  |  194次下載  |  免費(fèi)
  13. 7元宇宙底層硬件系列報(bào)告
  14. 13.42 MB  |  182次下載  |  免費(fèi)
  15. 8FP5207XR-G1中文應(yīng)用手冊
  16. 1.09 MB  |  178次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234315次下載  |  免費(fèi)
  3. 2555集成電路應(yīng)用800例(新編版)
  4. 0.00 MB  |  33566次下載  |  免費(fèi)
  5. 3接口電路圖大全
  6. 未知  |  30323次下載  |  免費(fèi)
  7. 4開關(guān)電源設(shè)計(jì)實(shí)例指南
  8. 未知  |  21549次下載  |  免費(fèi)
  9. 5電氣工程師手冊免費(fèi)下載(新編第二版pdf電子書)
  10. 0.00 MB  |  15349次下載  |  免費(fèi)
  11. 6數(shù)字電路基礎(chǔ)pdf(下載)
  12. 未知  |  13750次下載  |  免費(fèi)
  13. 7電子制作實(shí)例集錦 下載
  14. 未知  |  8113次下載  |  免費(fèi)
  15. 8《LED驅(qū)動電路設(shè)計(jì)》 溫德爾著
  16. 0.00 MB  |  6656次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935054次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537798次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420027次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234315次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191187次下載  |  免費(fèi)
  13. 7十天學(xué)會AVR單片機(jī)與C語言視頻教程 下載
  14. 158M  |  183279次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138040次下載  |  免費(fèi)