電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>存儲器技術(shù)>51單片機的程序存儲器和數(shù)據(jù)存儲器的詳細(xì)資料說明

51單片機的程序存儲器和數(shù)據(jù)存儲器的詳細(xì)資料說明

2019-06-28 | pdf | 0.10 MB | 次下載 | 1積分

資料介紹

  為了保證程序能夠連續(xù)地執(zhí)行下去,CPU必須具有某些手段來確定一條指令的地址。程序計數(shù)器PC正是起到了這種作用,所以通常又稱其為指令地址計數(shù)器。在程序開始執(zhí)行前,必須將其起始地址。即程序的第一條指令所在的內(nèi)存單元地址送入PC。當(dāng)執(zhí)行指令時,CPU將自動修改PC的內(nèi)容,使之總是保存將要執(zhí)行的下一個條指令的地址。由于大多數(shù)都是按順序執(zhí)行的,所以修改的過程只是簡單的加1操作。

  下面我們看看8051的存儲器系統(tǒng):(此章非常重要,請仔細(xì)理解)

  8051序列單片機與一般微機的存儲器配置方式不相同。一般微機通常只有一個地址空間,ROMRAM可以隨意安排在這一地址范圍內(nèi)不同的空間,即ROM和RAM的地址同在一個隊列里分配不同的地址空間。CPU訪問存儲器時,一個地址對應(yīng)唯一的存儲單元,可以是ROM也可以是RAM,并用同類訪問指令。此種存儲器結(jié)構(gòu)稱普林斯頓結(jié)構(gòu)。

  8051的存儲器在物理結(jié)構(gòu)上分程序存儲器空間和數(shù)據(jù)存儲器空間。有四個存儲空間:片內(nèi)程序存儲器和片外程序存儲空間以及片內(nèi)數(shù)據(jù)存儲器和片外數(shù)據(jù)存儲器。這種程序存儲器和數(shù)據(jù)存儲器分開的結(jié)構(gòu)形式,稱為哈佛結(jié)構(gòu)。但從用戶使用的角度,8051存儲器地址空間分為三類:

  1、片內(nèi),片外統(tǒng)一編址0000H—FFFFH的64K字節(jié)的程序存儲器地址空間,用16位地址;

  2、64K字節(jié)片外數(shù)據(jù)存儲器地址空間,地址也從0000H—FFFFH,用16位地址;

  3、256K字節(jié)數(shù)據(jù)存儲器地址空間,用8位地址。

  上述三個存儲空間地址是重迭的,任何區(qū)別這三個不同的邏輯空間呢?8051的指令系統(tǒng)設(shè)計了不同的數(shù)據(jù)傳送指令符號:CPU 訪問片內(nèi)片外ROM指令用MOVC,訪問片外指令用MOVX,訪問片內(nèi)RAM指令用MOV。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費下載
  2. 0.00 MB  |  1491次下載  |  免費
  3. 2單片機典型實例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機和 SG3525的程控開關(guān)電源設(shè)計
  14. 0.23 MB  |  4次下載  |  免費
  15. 8基于AT89C2051/4051單片機編程器的實驗
  16. 0.11 MB  |  4次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費
  15. 8開關(guān)電源設(shè)計實例指南
  16. 未知  |  21539次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191183次下載  |  免費
  13. 7十天學(xué)會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138039次下載  |  免費