0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > pld

pld

pld

+關(guān)注6人關(guān)注

PLD在計(jì)算機(jī)中PLD是可編程邏輯器件,是作為一種通用集成電路生產(chǎn)的,他的邏輯功能按照用戶對(duì)器件編程來決定。

文章:143個(gè) 瀏覽:59288 帖子:47個(gè)

pld技術(shù)

CPLD組成和邏輯塊作用介紹

CPLD組成和邏輯塊作用介紹

在CPLD(Complex Programmable Logic Device,復(fù)雜可編程邏輯器件)中,邏輯塊是實(shí)現(xiàn)邏輯功能的核心模塊。它主要由可編程乘...

2024-04-07 標(biāo)簽:cpld控制系統(tǒng)PLD 2034 0

可編程邏輯器件的優(yōu)化過程主要是對(duì)什么進(jìn)行

可編程邏輯器件(Programmable Logic Device,簡(jiǎn)稱PLD)的優(yōu)化過程主要是對(duì)電路布局、邏輯設(shè)計(jì)、時(shí)序建模和資源利用等方面進(jìn)行優(yōu)化。...

2024-02-01 標(biāo)簽:電路PLD引腳 551 0

CPLD與FPGA的區(qū)別是什么?有什么用途?

你知道CPLD嗎?它是“復(fù)雜可編程邏輯器件”的縮寫,它是一種數(shù)字IC,允許用戶根據(jù)需要多次重寫和擦除程序。隨著CPLD等可編程器件的出現(xiàn),產(chǎn)品開發(fā)變得更...

2023-07-06 標(biāo)簽:CPLDPLD可編程邏輯 4891 0

什么是pld的基本結(jié)構(gòu) 什么是plc控制原理

PLD是可編程邏輯器件(Programmable Logic Device)的縮寫。它是一種集成電路芯片,具有可編程的邏輯功能。PLD可以根據(jù)用戶需求進(jìn)...

2023-07-05 標(biāo)簽:集成電路存儲(chǔ)器cpu 5176 0

PLD系列準(zhǔn)分子激光器產(chǎn)品介紹

PLD系列準(zhǔn)分子激光器產(chǎn)品介紹

PLD系列準(zhǔn)分子激光器采用不同激光介質(zhì),如氣體、液體或固體。通過在介質(zhì)中產(chǎn)生激發(fā)態(tài)粒子,然后通過受激輻射過程產(chǎn)生激光。

2023-06-29 標(biāo)簽:激光器PLD 443 0

可編程邏輯器件測(cè)試方法

可編程邏輯器件測(cè)試方法

可編程邏輯器件 (Programmable Loeie Device,PLD)是一種用戶編程實(shí)現(xiàn)某種邏輯功能的邏輯器件,主要由可編程的與陣列、或陣列、門...

2023-06-06 標(biāo)簽:fpga存儲(chǔ)器PLD 1315 0

在微處理器系統(tǒng)里實(shí)現(xiàn)兩種簡(jiǎn)單的FPGA配置方式

在微處理器系統(tǒng)里實(shí)現(xiàn)兩種簡(jiǎn)單的FPGA配置方式

可編程邏輯器件(PLD)廣泛應(yīng)用在各種電路設(shè)計(jì)中?;诓檎冶砑夹g(shù)、SRAM工藝的大規(guī)模PLD/FPGA,密度高且觸發(fā)器多,適用于復(fù)雜的時(shí)序邏輯,如數(shù)字信...

2023-05-30 標(biāo)簽:fpga存儲(chǔ)器微處理器 684 0

PLD設(shè)計(jì)工具分析

PLD(Programmable Logic Device)是一種由用戶根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。目前主要有兩大類型:CPLD(Comp...

2023-04-29 標(biāo)簽:fpga集成電路PLD 1581 0

FPGA成為許多新時(shí)代應(yīng)用的首選平臺(tái)

  在技術(shù)和基礎(chǔ)設(shè)施的快速進(jìn)步的推動(dòng)下,全球的處理和帶寬需求不斷增長(zhǎng)。這種增長(zhǎng)要求提高設(shè)計(jì)可定制性、數(shù)據(jù)和設(shè)計(jì)安全性,并限制功耗和占用空間預(yù)算。FPGA...

2023-04-24 標(biāo)簽:fpga存儲(chǔ)器PLD 1477 0

功能豐富的系統(tǒng)需要靈活且可配置的20V大電流PMIC

功能豐富的系統(tǒng)需要靈活且可配置的20V大電流PMIC

技術(shù)的不斷進(jìn)步增加了所有電子系統(tǒng)的功能內(nèi)容,同時(shí)減少了可用空間。手機(jī)有觸摸屏、手電筒、省電模式和復(fù)雜的相機(jī)。

2022-12-21 標(biāo)簽:驅(qū)動(dòng)器asicPLD 1160 0

FPGA入門-查找表結(jié)構(gòu)和乘積項(xiàng)結(jié)構(gòu)

Spartan-II主要包括CLBs,I/O塊,RAM塊和可編程連線(未表示出)。在spartan-II中,一個(gè)CLB包括2個(gè)Slices,每個(gè)slic...

2022-09-21 標(biāo)簽:fpgaPLDHDL 1471 0

基于可進(jìn)化硬件EHW實(shí)現(xiàn)IP核的改進(jìn)設(shè)計(jì)

基于可進(jìn)化硬件EHW實(shí)現(xiàn)IP核的改進(jìn)設(shè)計(jì)

提出一種可進(jìn)化IP核的設(shè)計(jì)和實(shí)現(xiàn)方法。這種IP核采用進(jìn)化硬件的設(shè)計(jì)思想,將遺傳算法運(yùn)用于硬件電路的設(shè)計(jì)中,使電路能根據(jù)當(dāng)前的環(huán)境自動(dòng)進(jìn)行內(nèi)部電路的時(shí)化,...

2021-06-22 標(biāo)簽:fpgapldip核 2576 0

基于PLD和硬件描述語言實(shí)現(xiàn)系統(tǒng)在內(nèi)編程

基于PLD和硬件描述語言實(shí)現(xiàn)系統(tǒng)在內(nèi)編程

自二十世紀(jì)80年代以來,可編程邏輯器件(PLD)技術(shù)發(fā)展非常迅速。由于PLD是作為一種通用器件生產(chǎn)的,而它的邏輯功能是由用戶通過對(duì)器件編程實(shí)現(xiàn)的,隨著P...

2021-05-20 標(biāo)簽:集成電路計(jì)算機(jī)pld 2282 0

Mach-NX:可信系統(tǒng)的基石

Mach-NX:可信系統(tǒng)的基石

當(dāng)系統(tǒng)固件驗(yàn)證失敗時(shí),Mach-NX 可以快速恢復(fù)。該器件支持雙 SPI 存儲(chǔ)器,一個(gè)存儲(chǔ)主要固件,另一個(gè)則可以保存黃金版固件。

2021-01-26 標(biāo)簽:以太網(wǎng)PLD存儲(chǔ)系統(tǒng) 1474 0

基于DSP器件和PLD邏輯器件實(shí)現(xiàn)矩陣變換器系統(tǒng)的設(shè)計(jì)

基于DSP器件和PLD邏輯器件實(shí)現(xiàn)矩陣變換器系統(tǒng)的設(shè)計(jì)

一個(gè)用于實(shí)現(xiàn)交—交變換的矩陣式變換器如圖1所示。它可以用一個(gè)虛擬的整流器和一個(gè)虛擬的逆變器構(gòu)成的等效交—直—交結(jié)構(gòu)來代替,如圖2所示。采用這樣的等效結(jié)構(gòu)...

2020-12-03 標(biāo)簽:dsp變換器pld 1716 0

基于在系統(tǒng)可編程芯片pLSI/ispLSI1016實(shí)現(xiàn)通信數(shù)字信號(hào)源的設(shè)計(jì)

基于在系統(tǒng)可編程芯片pLSI/ispLSI1016實(shí)現(xiàn)通信數(shù)字信號(hào)源的設(shè)計(jì)

但是,考慮到EPROM編程及擦寫的過程比較繁瑣,數(shù)據(jù)不易改寫,靈活性及通用性較差,因此,經(jīng)過比較決定采用第二種方案?其框圖如圖3所示?

2020-09-18 標(biāo)簽:芯片pld總線 3469 0

Stratix GX系列FPGA支持熱插拔形式的設(shè)計(jì)

Stratix GX系列FPGA支持熱插拔形式的設(shè)計(jì)

PLD熱插拔的基本原理是在加電(VCCINT或任何VCCIO電源)或關(guān)電過程中關(guān)斷輸出緩沖。當(dāng)VCCINT或VCCIO低于閾值電壓時(shí),熱插拔電路都會(huì)產(chǎn)生...

2020-09-02 標(biāo)簽:熱插拔pldfpda 1301 0

PLD原理圖的設(shè)計(jì)與電路仿真

PLD原理圖的設(shè)計(jì)與電路仿真

我們通過使用GAL16V8設(shè)計(jì)一個(gè)在單片機(jī)系統(tǒng)里常用的三八譯器,然后在PROTEUS中通過觀察GAL16V8中三八譯碼器的輸入與輸出的對(duì)應(yīng)關(guān)系來完成PL...

2020-08-11 標(biāo)簽:單片機(jī)PLD譯碼器 4990 0

基于管理和組合HDL電路單元IP庫(kù)的HAD輔助設(shè)計(jì)軟件研究

基于管理和組合HDL電路單元IP庫(kù)的HAD輔助設(shè)計(jì)軟件研究

輔助設(shè)計(jì)(HAD)軟件主要是對(duì)HDL語言的電路起一個(gè)輔助設(shè)計(jì)的作用。HAD軟件的功能有兩個(gè):管理電路單元IP庫(kù)以及生成所需外圍電路的 HDL程序。

2020-07-23 標(biāo)簽:芯片mcupld 859 0

基于PLD器件EPM3256ATC144-10芯片實(shí)現(xiàn)固態(tài)功控系統(tǒng)的設(shè)計(jì)

基于PLD器件EPM3256ATC144-10芯片實(shí)現(xiàn)固態(tài)功控系統(tǒng)的設(shè)計(jì)

如圖1所示,每路SSPC取樣電阻上的電壓經(jīng)過調(diào)理電路和低通濾波器以后,送到4通道A/D轉(zhuǎn)換器的一個(gè)模擬輸入端,A/D轉(zhuǎn)換器的數(shù)據(jù)輸出端、狀態(tài)信號(hào)和控制信...

2020-07-15 標(biāo)簽:芯片cpldpld 1484 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長(zhǎng)的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(6人)

jf_48410379 ben111 jf_76605476 歲寒松柏 PLD小白 90后一場(chǎng)夢(mèng)

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺 無人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯海科技 Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽(yáng) 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機(jī) 無線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識(shí)別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題