0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

標簽 > tcl

tcl

+關注10人關注

文章:1452 瀏覽:88351 帖子:50

tcl技術(shù)

TCL華星旗下光電顯示公司增資5億元

近日,TCL華星旗下的惠州華星光電顯示有限公司迎來了注冊資本的大幅增長。據(jù)工商變更信息顯示,該公司的注冊資本已從原有的15.1億人民幣增加至20.1億人...

2024-10-09 標簽:TCL光電顯示華星光電 227 0

如何利用Tcl腳本在Manage IP方式下實現(xiàn)對IP的高效管理

如何利用Tcl腳本在Manage IP方式下實現(xiàn)對IP的高效管理

在Vivado下,有兩種方式管理IP。一種是創(chuàng)建FPGA工程之后,在當前工程中選中IP Catalog,生成所需IP,這時相應的IP會被自動添加到當前工...

2024-04-22 標簽:FPGATCLVivado 639 0

如何在AMD Vivado? Design Tool中用工程模式使用DFX流程?

如何在AMD Vivado? Design Tool中用工程模式使用DFX流程?

本文介紹了在 AMD Vivado? Design Tool 中用工程模式使用 DFX 流程以及需要注意的地方。在使用 DFX 工程模式的過程中要把具體...

2024-04-17 標簽:TCLRTLVivado 599 0

使用Altera Interface Planner高效設計FPGA引腳布局

使用Altera Interface Planner高效設計FPGA引腳布局

Altera Interface Planner 用于探索設備的外設架構(gòu),并高效地分配接口。通過實時進行擬合和合法性檢查,防止非法引腳分配。

2024-03-22 標簽:pcbFPGA設計Altera 2245 0

自動化構(gòu)建環(huán)境在FPGA設計中的應用

自動化構(gòu)建環(huán)境在FPGA設計中的應用

為了加快實現(xiàn) FPGA 構(gòu)建環(huán)境的自動化(如用于持續(xù)集成 (CI)),并確保在開發(fā)與生命周期后期階段完整重現(xiàn)設計結(jié)果,Missing Link Elec...

2024-02-20 標簽:fpgaHDLTCL 320 0

有哪幾種方法可以對Saber MAST模型進行加密呢?

有哪幾種方法可以對Saber MAST模型進行加密呢?

為保護知識產(chǎn)權(quán),我們有時候需要對自己所建的模型進行加密,加密使得別人無法讀取模型的部分源代碼。

2023-12-05 標簽:電阻器TCLDOS命令 599 0

Calibredrv教程:如何提取版圖中指定Layer到另一個GDS中

Calibredrv教程:如何提取版圖中指定Layer到另一個GDS中

如果有多層,可以按著Ctrl進行選擇,如果想取消選中還是按著Ctrl再選一遍。

2023-11-28 標簽:TCL版圖腳本 3008 0

vivado主界面及設計流程

vivado主界面及設計流程

Vivado設計主界面,它的左邊是設計流程導航窗口,是按照FPGA的設計流程設置的,只要按照導航窗口一項一項往下進行,就會完成從設計輸入到最后下載到開發(fā)...

2023-09-17 標簽:FPGA設計寄存器TCL 2622 0

利用Tcl腳本節(jié)省編譯時間

利用Tcl腳本節(jié)省編譯時間

這篇博文介紹了多種自動生成報告的有效途徑,以便您在嘗試對設計中特定階段所耗用的編譯時間進行調(diào)試時使用,例如,自動報告加載設計約束的時間、每條命令的持續(xù)時...

2023-09-15 標簽:調(diào)試TCL編譯時間 690 0

如何用Python實現(xiàn)Vivado和ModelSim仿真自動化?

如何用Python實現(xiàn)Vivado和ModelSim仿真自動化?

我們在Windows系統(tǒng)下使用Vivado的默認設置調(diào)用第三方仿真器比如ModelSim進行仿真時,一開始仿真軟件都會默認在波形界面中加載testben...

2023-09-13 標簽:二進制仿真仿真器 1135 0

如何在FPGA上快速搭建以太網(wǎng)?

如何在FPGA上快速搭建以太網(wǎng)?

LWIP 是使用裸機設計以太網(wǎng)的良好起點,在此基礎上我們可以輕松調(diào)整軟件應用程序以提供更詳細的應用程序。LWIP Echo 服務器的使用首先使我們能夠確...

2023-09-08 標簽:fpgaFPGA設計以太網(wǎng) 1575 0

FPGA設計之tcl腳本的應用

FPGA設計之tcl腳本的應用

目前已經(jīng)學完了基礎實驗,這里要介紹Quatus自帶的兩個非常重要的功能,第一個是tcl腳本,第二個是SignalTap(下一篇)。

2023-09-07 標簽:fpgaFPGA設計TCL 2245 0

如何實現(xiàn)基于FPGA Vivado的74系列IP封裝呢?

如何實現(xiàn)基于FPGA Vivado的74系列IP封裝呢?

雙擊桌面圖標打開Vivado 2017.2,或者選擇開始>所有程序>Xilinx Design Tools> Vivado 2017....

2023-07-30 標簽:fpgaFPGA設計TCL 722 0

VCS獨立仿真Vivado IP核的問題補充

VCS獨立仿真Vivado IP核的問題補充

在仿真Vivado IP核時分兩種情況,分為未使用SECURE IP核和使用了SECURE IP核。

2023-06-06 標簽:仿真器VHDL語言TCL 1609 0

在questasim里如何設置和查看種子值呢?

在systemverilog代碼運行中,EDA工具會先給1個隨機種子值(seed),所有代碼里的隨機數(shù)都是根據(jù)這個初始種子衍生出來的。

2023-05-29 標簽:EDA工具VerilogTCL 1523 0

一文帶你了解Python的使用

一文帶你了解Python的使用

各位ICer對面向?qū)ο蟮恼Z言Python想必并不陌生,作為繼Perl之后最知名,且一直持續(xù)保持著版本 更新的腳本語言

2023-05-23 標簽:TCLRTLURL 846 0

用VCS跑simulation hang住了該怎么辦?

用VCS跑simulation hang住了該怎么辦?

遇到此類問題,我第一個想到的是去打印一些log看看,比如設置定時打印,調(diào)高UVM打印級別等。

2023-05-10 標簽:TCLVCSVerdi 2913 0

Vivado調(diào)用Questa Sim仿真中存在的一些問題

Vivado調(diào)用Questa Sim仿真中存在的一些問題

首先說明一下Modelsim與Questa Sim都可以與Vivado聯(lián)調(diào),也比較相似,但是Questa Sim比Modelsim功能更加廣泛

2023-05-08 標簽:仿真器TCLVivado 4669 0

如何把Python腳本集成到GUI工具包-Tkinter

如何把Python腳本集成到GUI工具包-Tkinter

Tkinter(即 tk interface,簡稱“Tk”)本質(zhì)上是對 Tcl/Tk 軟件包的 Python 接口封裝,它是 Python 官方推薦的 ...

2023-05-05 標簽:TCLGUIpython 573 0

用TCL定制Vivado設計實現(xiàn)流程

用TCL定制Vivado設計實現(xiàn)流程

今天推出Xilinx已發(fā)布的《Vivado使用誤區(qū)與進階》系列:用TCL定制Vivado設計實現(xiàn)流程。

2023-05-05 標簽:fpgaXilinxTCL 991 0

相關標簽

相關話題

換一批
  • ESP8266
    ESP8266
    +關注
    wifi模塊ESP8266的默認波特率是115200,但是單片機不能達到那么快的傳輸速率,只能使用4800bps,那么設置的時候,必不可少的要先用轉(zhuǎn)串口調(diào)試下載器。
  • 呼吸燈
    呼吸燈
    +關注
    呼吸燈是指燈光在微電腦的控制之下完成由亮到暗的逐漸變化,感覺好像是人在呼吸。其廣泛應用于手機之上,并成為各大品牌新款手機的賣點之一,起到一個通知提醒的作用。
  • 點位圖
    點位圖
    +關注
    點位圖法是把一幅圖像分成許許多多的像素,每個像素用若干個二進制位來指定該像素的顏色、亮度和屬性。因此一幅圖像由許許多多描述每個像素的數(shù)據(jù)組成,這些數(shù)據(jù)通常稱為圖像數(shù)據(jù),而這些數(shù)據(jù)通常是作為一個文件來存儲的,這種文件又稱為圖像文件。
  • BTS7960
    BTS7960
    +關注
  • 核心板
    核心板
    +關注
  • STM32F407
    STM32F407
    +關注
  • 電氣原理圖
    電氣原理圖
    +關注
    電氣原理圖是用來表明設備電氣的工作原理及各電器元件的作用,相互之間的關系的一種表示方式。 運用電氣原理圖的方法和技巧,對于分析電氣線路,排除電路故障、程序編寫是十分有益的。電氣原理圖一般由主電路、控制電路、保護、配電電路等幾部分組成。
  • 彩電圖紙
    彩電圖紙
    +關注
  • TX-1C
    TX-1C
    +關注
  • 工程師白皮書
    工程師白皮書
    +關注
  • epM240
    epM240
    +關注
  • MStar
    MStar
    +關注
  • 液晶彩電圖紙
    液晶彩電圖紙
    +關注
    要想看懂液晶彩電圖紙,前提是電子常識,把電視機的分成幾個部分,電源線進部分是電源部分接喇叭的是音頻電路,有一個高壓包的是行電路,連接顯象管上面線圈的是場電路(其中有兩條線屬于行輸出),高頻頭(插天線的鐵盒子)是接收電路。
  • SIM900
    SIM900
    +關注
  • 電路圖紙
    電路圖紙
    +關注
  • SPARK
    SPARK
    +關注
    Apache Spark 是專為大規(guī)模數(shù)據(jù)處理而設計的快速通用的計算引擎。Spark是UC Berkeley AMP lab (加州大學伯克利分校的AMP實驗室)所開源的類Hadoop MapReduce的通用并行框架,Spark,擁有Hadoop MapReduce所具有的優(yōu)點;
  • 開關電路圖
    開關電路圖
    +關注
  • 紅外對管
    紅外對管
    +關注
  • 晶體管測試儀
    晶體管測試儀
    +關注
  • MC33035
    MC33035
    +關注
  • 電池保護板
    電池保護板
    +關注
  • STM32F103VET6
    STM32F103VET6
    +關注
    STM32F103VET6是一個32位高密性能微控制器單元,有著三個12位模數(shù)轉(zhuǎn)換器和4個通用16位計時器,外加兩個PWM計時器,另外有著標準和先進的通訊接口,高達兩個I2C,三個SPI和兩個I2S,一個SDIO,五個USART,一個USB和一個CAN。
  • 臺燈電路圖
    臺燈電路圖
    +關注
  • 照明燈電路圖
    照明燈電路圖
    +關注
  • 非隔離電源
    非隔離電源
    +關注
  • CSR8635
    CSR8635
    +關注
  • 彩電電路圖
    彩電電路圖
    +關注
  • 曙光
    曙光
    +關注
  • mega16
    mega16
    +關注
  • 超聲波模塊
    超聲波模塊
    +關注

關注此標簽的用戶(10人)

jf_25784315 jf_28010963 jf_43001374 jkjkjk明 jf_64793285 jf_06926377 jf_63390891 jf_71362122 張旭_429 happyflower

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機 FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機 PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進電機 SPWM 充電樁 IPM 機器視覺 無人機 三菱電機 ST
伺服電機 SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國民技術(shù) Microchip
瑞薩 沁恒股份 全志 國民技術(shù) 瑞芯微 兆易創(chuàng)新 芯海科技 Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風華高科 WINBOND 長晶科技 晶導微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運算放大器 差動放大器 電流感應放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時鐘 時鐘振蕩器 時鐘發(fā)生器 時鐘緩沖器 定時器 寄存器 實時時鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚聲器放大器 音頻轉(zhuǎn)換器 音頻開關 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計 溫度傳感器 壓力傳感器
電機驅(qū)動器 步進驅(qū)動器 TWS BLDC 無刷直流驅(qū)動器 濕度傳感器 光學傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護 收發(fā)器 橋接器 多路復用器 氮化鎵 PFC 數(shù)字電源
開關電源 步進電機 無線充電 LabVIEW EMC PLC OLED 單片機
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機器學習 TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設計:PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設計教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎教程,c語言基礎視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題