電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>模擬技術(shù)>一種基于FPGA實現(xiàn)SRRC濾波及多速率變換模塊的方法介紹

一種基于FPGA實現(xiàn)SRRC濾波及多速率變換模塊的方法介紹

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

fpga實現(xiàn)濾波

fpga實現(xiàn)濾波fpga實現(xiàn)濾波器在利用FPGA實現(xiàn)數(shù)字信號處理方面,分布式算法發(fā)揮著關(guān)鍵作用,與傳統(tǒng)的乘加結(jié)構(gòu)相比,具有并行處理的高效性特點。本文研究了一種16階FIR濾波器的FPGA設(shè)計方法
2012-08-12 11:50:16

fpga實現(xiàn)濾波

。本文研究了一種16階FIR濾波器的FPGA設(shè)計方法,采用Verilog HDI語言描述設(shè)計文件,在Xilinx ISE 7.1i及ModelSim SE 6.1b平臺上進(jìn)行了實驗仿真及時序分析,并探討了實際工程中硬件資源利用率及運算速度等問題。
2012-08-11 18:27:41

一種基于FPGA和MCU的總線轉(zhuǎn)換方案設(shè)計

為了擴(kuò)展VME總線和CAN總線的應(yīng)用范圍,充分利用兩總線的不同傳輸特點,采用了模塊設(shè)計方法,提出一種基于FPGA和MCU的總線轉(zhuǎn)換方案。該方案給出了FPGA與上位VME總線部分的VME總線接口
2019-06-28 08:24:19

一種基于FPGA通道頻率測量系統(tǒng)的實現(xiàn)方法介紹

設(shè)計了一種通道頻率測量系統(tǒng)。系統(tǒng)由模擬開關(guān)、信號調(diào)理電路、FPGA、總線驅(qū)動電路構(gòu)成,實現(xiàn)對頻率信號的分壓、放大、濾波、比較、測量,具備回路自測試功能,可與主設(shè)備進(jìn)行數(shù)據(jù)交互,具有精度高、可擴(kuò)展
2019-06-27 07:23:11

一種基于FPGA的DSU硬件實現(xiàn)方法

摘要:為了實現(xiàn)對非相干雷達(dá)的接收相參處理,基于數(shù)字穩(wěn)定校正(DSU)的原理,采用ALTERA公司的StratixⅡ系列芯片和VHDL編程語言,設(shè)計了一種基于FPGA的DSU硬件實現(xiàn)方法。實驗結(jié)果表明
2019-06-28 08:27:33

一種基于FPGA的UART實現(xiàn)方法設(shè)計

摘要:UART作為RS232協(xié)議的控制接口得到了廣泛的應(yīng)用,將UART的功能集成在FPGA芯片中,可使整個系統(tǒng)更為靈活、緊湊,減小整個電路的體積,提高系統(tǒng)的可靠性和穩(wěn)定性。提出了一種基于FPGA
2019-06-21 07:17:24

一種基于FPGA的UHF RFID讀寫器編解碼模塊設(shè)計

)。本文介紹一種讀寫器的編解碼部分由FPGA來完成的設(shè)計方案,由FPGA負(fù)責(zé)前向鏈路的PIE編碼和后向鏈路的FM0/miller解碼,且解碼模塊可對標(biāo)簽突發(fā)傳來的數(shù)據(jù)立即檢測并實施解碼,實現(xiàn)了較快的解碼速率。FPGA選用的是Altera公司的EP1C3T100C6芯片。
2019-07-26 06:47:39

一種基于FPGA的全數(shù)字短波解調(diào)器設(shè)計

系統(tǒng)性能提升有限。本文根據(jù)軟件無線電的思想,提出一種全數(shù)字的短波解調(diào)器。使用高速模數(shù)轉(zhuǎn)換器直接射頻采樣,并將高速數(shù)據(jù)流送給FPGA完成下變頻、濾波、解調(diào)。此系統(tǒng)將模擬器件壓縮到最小,使得系統(tǒng)的抗干擾能力得到極大的提高,這也將系統(tǒng)的解調(diào)靈敏度提升到了個新的高度。
2019-07-02 07:35:09

一種基于FPGA的可配置FFT IP核實現(xiàn)設(shè)計

。本文在串行方法的基礎(chǔ)上實現(xiàn)一種FPGA實現(xiàn)的可配置FFTIP核,具有輸入點數(shù)可配置(實現(xiàn)0~4 096點自由配置)、數(shù)據(jù)位寬可配置、分解基可配置的特性。
2019-07-03 07:56:53

一種基于FPGA的實時視頻圖像處理算法研究與實現(xiàn)

摘要為有效提高視頻監(jiān)控應(yīng)用領(lǐng)域中屏幕畫面顯示的清晰度、分辨度等問題,提出了一種基于FPGA的實時視頻圖像處理算法。文中介紹了系統(tǒng)的整體結(jié)構(gòu),然后針對FPGA模塊介紹了視頻圖像的緩存及圖像分割,并
2019-06-28 07:06:54

一種基于FPGA的振動信號采集處理系統(tǒng)設(shè)計介紹

特點,采用數(shù)據(jù)流控制的方法實現(xiàn)了信息的并行處理,可以更加有效的實現(xiàn)通道振動信號采集;同時為了提高數(shù)據(jù)的可靠性采用時間標(biāo)定的方法進(jìn)行數(shù)據(jù)的存儲和校驗。本文第節(jié)介紹了該系統(tǒng)的整體設(shè)計方案,第二節(jié)
2019-07-01 06:11:15

一種基于DSP+FPGA的飛控計算機(jī)設(shè)計方法介紹

飛控計算機(jī)平臺尤為重要。傳統(tǒng)的單處理器核心飛控計算機(jī)難以在通道異步數(shù)據(jù)收發(fā)的同時保證數(shù)據(jù)處理速度,難以滿足現(xiàn)代導(dǎo)彈的要求。本文提出了一種基于DSP+FPGA結(jié)構(gòu),對外接口為422的通用數(shù)字飛控計算機(jī)
2019-06-26 07:29:55

一種基于梳狀濾波器的固體腔厚度測量方法

針對密集波分復(fù)用(DWDM)技術(shù)中所使用的梳狀濾波器,對固體腔研磨厚度指標(biāo)要求極高Δν=200GHz,Δd≤13.37nm,本文提出運用法布里-珀羅干涉理論(Fabry-Perot),研究設(shè)計了一種
2010-05-13 09:04:51

一種基于經(jīng)優(yōu)化算法優(yōu)化過的神經(jīng)網(wǎng)絡(luò)設(shè)計FIR濾波器的方法介紹

定程度上改善了傳統(tǒng)方法的局限性,但這些方法自身也存在著些不足。之后,曾喆昭等人提出了一種基于余弦基神經(jīng)網(wǎng)絡(luò)的算法,給出了該算法的收斂條件,并將其應(yīng)用到高階通帶FIR濾波器中,用實例說明了該算法在精度
2019-07-08 07:16:17

一種新型CMRC寬帶低通濾波器設(shè)計

器的尺寸般較大,因此有必要減小微波毫米波電路濾波器的尺寸。2000年香港城市大學(xué)薛泉教授提出了一種緊湊的微帶諧振器(CMRC),此后螺旋緊湊微帶諧振器(SCMRC)以及直線緊湊微帶諧振器(BCMRC)又
2019-07-08 07:34:48

一種新型SIW腔體雙膜濾波器的設(shè)計方法介紹

。為此,本文提出了一種新型SIW腔體雙膜濾波器的設(shè)計方法。該SIW的大功率容量、低插入損耗特性正好可以對雙膜濾波器的固有缺點起到補(bǔ)償作用。而且輸入/輸出采用直接過渡的轉(zhuǎn)換結(jié)構(gòu),也減少了耦合縫隙的損耗。
2019-07-03 07:08:15

一種簡單的介質(zhì)波導(dǎo)雙模濾波器設(shè)計方法介紹

前言:本文旨在介紹一種簡單的介質(zhì)波導(dǎo)雙模濾波器設(shè)計方法,用以指導(dǎo)濾波器工程師設(shè)計基站介質(zhì)波導(dǎo)濾波器。
2019-06-25 06:25:12

介紹一種串口通信編程方法

[導(dǎo)讀] 本文介紹了以LPC2365為核心處理器、嵌入式實時操作系統(tǒng)μC/OS-II下的串口通信編程方法。對于固定長度的短字節(jié)幀數(shù)據(jù),通過設(shè)置合適的字節(jié)觸發(fā)深度,次中斷完成數(shù)據(jù)接收任務(wù);對于變長
2021-12-15 09:06:56

介紹一種使用WSL來編譯nodemcu固件的方法

本文將介紹一種使用WSL來編譯nodemcu固件的方法
2022-02-15 07:34:55

介紹一種單WiFi功能雙頻WiFi模塊

本文給大家介紹一種單WiFi功能雙頻WiFi模塊。
2021-05-18 07:17:30

介紹一種可以高精度的測量電阻的方法

本文介紹一種可以高精度的測量電阻的方法。
2021-05-10 06:38:57

介紹一種基于FPGA的電機(jī)控制系統(tǒng)

日益成為系統(tǒng)的關(guān)鍵部件。本文介紹一種基于FPGA的電機(jī)控制系統(tǒng),用于控制三坐標(biāo)測量機(jī)電機(jī)運行。1:控制系統(tǒng)概述系統(tǒng)主要由PC控制電路驅(qū)動器和電機(jī)組成。系統(tǒng)結(jié)構(gòu)圖見圖1.其中PC,由VC++實現(xiàn)用戶界面,發(fā)...
2022-02-17 06:22:51

介紹一種基于分級的RFID隱私保護(hù)方法

介紹一種基于分級的RFID隱私保護(hù)方法
2021-05-26 06:17:01

介紹一種提高RS485總線通信速度的設(shè)計方法

本文介紹一種提高RS485總線通信速度的設(shè)計方法。
2021-06-02 06:29:06

速率信號處理筆記——基礎(chǔ)理論

速率信號處理筆記速率信號處理中,常用的抗混疊濾波器是最佳等波紋濾波器,F(xiàn)IR濾波器具有線性相位,對有限字長不敏感以及容易實現(xiàn)的特點。 、最佳方法(切比雪夫逼近法)計算FIR濾波器系數(shù)
2014-08-22 22:47:07

實現(xiàn)FPGA數(shù)字下變頻的濾波器分組級聯(lián)技術(shù)分析

,不能實現(xiàn)或者不能完全實現(xiàn)平臺通用,更新升級方便等功能。目前針對數(shù)字下變頻,除了采用片DSP組成并行處理模塊外,般都探索采用下變頻處理的高效算法。2 濾波器的分組級聯(lián)技術(shù)抽取濾波器組通常由乘法器和加
2009-10-23 10:26:53

CIC抽取濾波器MATLAB仿真和FPGA實現(xiàn)

文章主要講CIC理論基礎(chǔ),下個文章講FPGA實現(xiàn)。級聯(lián)積分梳狀濾波器又稱CIC。這是速率信號處理中一種結(jié)構(gòu)簡單的濾波器,只需要加法器和寄存器即可實現(xiàn),可以靈活的設(shè)置抽取因子和插值因子,并且CIC是一種
2021-08-17 08:27:40

FFT 算法的一種 FPGA 實現(xiàn)

本帖最后由 lee_st 于 2017-11-22 08:28 編輯 摘 要: FFT 運算在OFDM 系統(tǒng)中起調(diào)制和解調(diào)的作用。針對OFDM 系統(tǒng)中FFT 運算的要求, 研究了一種易于
2017-11-21 15:55:13

Nexar如何為FPGA設(shè)計提供一種全新的方法?

 本文概述了開發(fā)這種系統(tǒng)所必須面對的各種設(shè)計挑戰(zhàn),并講解了Altium公司的最新電子設(shè)計環(huán)境Nexar如何為FPGA設(shè)計提供一種全新的方法。這種方法不僅可將處理器有效地集成入FPGA之中,而且成為一種挖掘現(xiàn)有以及未來大容量、低成本FPGA部件應(yīng)用潛力的系統(tǒng)級
2021-05-08 06:02:24

分享款不錯的一種基于FPGA高性能H.264變換量化結(jié)構(gòu)設(shè)計

分享款不錯的一種基于FPGA高性能H.264變換量化結(jié)構(gòu)設(shè)計
2021-05-08 07:56:42

分享一種不錯的基于FPGA幀同步得提取方法

求大佬介紹一種基于現(xiàn)場可編程門陣列(FPGA)的同步方案?
2021-04-08 06:25:03

分享一種不錯的通用SDRAM控制器FPGA模塊化解決方案

求大佬介紹一種通用SDRAM控制器的FPGA模塊化解決方案
2021-04-08 06:40:34

分享一種具有低功耗意識的FPGA設(shè)計方法

分享一種具有低功耗意識的FPGA設(shè)計方法
2021-04-29 06:15:55

分享一種數(shù)字秒表設(shè)計方法

本文介紹一種基于FPGA利用VHDL硬件描述語言的數(shù)字秒表設(shè)計方法
2021-05-11 06:37:32

FPGA體系結(jié)構(gòu)能夠實現(xiàn)的并行運算

)、離散余弦變換(DCT)、小波變換、數(shù)字濾波器(有限脈沖響應(yīng)(FIR)、無限脈沖響應(yīng)(IIR)和自適應(yīng)濾波器)以及數(shù)字上下變頻器。這些算法中,每一種都有些結(jié)構(gòu)性的元件可以用并行方法實現(xiàn)。而FPGA
2021-12-15 06:30:00

在DSP平臺下對多路交流信號采樣時采用的一種異步采樣方法介紹

本文介紹一種在DSP平臺下對多路交流信號采樣時采用的一種異步采樣方法
2021-04-02 07:01:30

基于FPGA的IIR數(shù)字濾波器的設(shè)計和實現(xiàn)方法介紹

帶通濾波器為例,較為詳細(xì)地介紹了其設(shè)計和實現(xiàn)方法。給定巴特沃茲數(shù)字帶通濾波器的抽樣頻率為500Hz,上、下邊帶截止頻率分別為150Hz和30Hz.
2019-07-08 07:18:25

基于DSP Builder的小波變換設(shè)計實現(xiàn)

中突變尖峰的成分,但可能損失這些突變點攜帶的重要信息,而傅里葉頻譜分析僅是一種純頻率分析方法,該方法對時變的非平穩(wěn)腦電信號無效。與傳統(tǒng)的傅里葉變換相比較,小波變換一種尺度信號分析方法,具有良好
2021-05-13 07:00:00

基于DSP Builder的小波變換設(shè)計實現(xiàn)

中突變尖峰的成分,但可能損失這些突變點攜帶的重要信息,而傅里葉頻譜分析僅是一種純頻率分析方法,該方法對時變的非平穩(wěn)腦電信號無效。與傳統(tǒng)的傅里葉變換相比較,小波變換一種尺度信號分析方法,具有良好
2021-06-04 07:00:00

如何實現(xiàn)FPGA芯片存儲器模塊的設(shè)計?

本文介紹一種0.13微米CMOS T藝下FPGA中嵌入式存儲器模塊的設(shè)計與實現(xiàn)。
2021-04-09 06:02:09

如何實現(xiàn)基于多相濾波的數(shù)字接收機(jī)的FPGA?

處理器(FPGA,DSP)是個“瓶頸”;基于多相濾波的信道化接收機(jī)抽取在濾波之前,運算量小,且輸出速率低,便于FPGA實現(xiàn),這使得在FPGA實現(xiàn)數(shù)字信道化成為可能。
2019-08-22 08:01:34

如何利用CPLD實現(xiàn)數(shù)字濾波及抗干擾?

如何利用CPLD實現(xiàn)數(shù)字濾波及抗干擾?CPLD在信號濾波和抗干擾中的應(yīng)用
2021-04-30 06:50:32

如何去實現(xiàn)一種周期同步測頻法車速測量系統(tǒng)?

傳統(tǒng)測量方法存在哪些問題?如何去實現(xiàn)一種周期同步測頻法車速測量系統(tǒng)?
2021-05-14 06:56:41

如何去實現(xiàn)一種PLL環(huán)路濾波器的設(shè)計?

如何去實現(xiàn)一種PLL環(huán)路濾波器的設(shè)計?
2021-06-25 06:20:40

如何去實現(xiàn)一種基于NFC的新智能連接調(diào)試方法?

基于NFC的新智能連接調(diào)試方法是什么?如何去實現(xiàn)一種NFC智能連接調(diào)試方法
2021-06-30 07:23:45

如何設(shè)計一種高精度時間間隔測量模塊?

本文設(shè)計了一種高精度時間間隔測量模塊,介紹了該模塊的軟硬件實現(xiàn)方法。大量實驗證明本模塊可以實現(xiàn)對微小時間間隔的精確測量,具有很高的應(yīng)用價值。
2021-05-17 07:03:28

如何采用CPLD實現(xiàn)數(shù)字濾波及抗干擾?

采用CPLD實現(xiàn)信號濾波及抗干擾的方法,看完你就懂了
2021-04-13 06:40:47

如何采用級聯(lián)結(jié)構(gòu)在FPGA實現(xiàn)IIR數(shù)字濾波器?

本文介紹一種采用級聯(lián)結(jié)構(gòu)在FPGA實現(xiàn)IIR數(shù)字濾波器的方法。
2021-04-15 06:16:32

怎么用XC2V1000型FPGA實現(xiàn)FIR抽取濾波器的設(shè)計

本文以實現(xiàn)抽取率為2的具有線性相位的3階FIR抽取濾波器為例,介紹一種用XC2V1000型FPGA實現(xiàn)FIR抽取濾波器的設(shè)計方法
2021-05-07 06:02:47

怎么設(shè)計一種基于FPGA的數(shù)字秒表?

本文介紹一種FPGA為核心,設(shè)計了一種基于FPGA的數(shù)字秒表?
2021-05-10 06:40:32

一種FPGA實現(xiàn)單精度浮點加法運算的方法

介紹一種FPGA實現(xiàn)的單精度浮點加法運算器,運算器算法的實現(xiàn)考慮了FPGA器件本身的特點,算法處理流程的拆分和模塊的拆分,便于流水設(shè)計的實現(xiàn)。
2021-04-29 06:27:09

一種基于FPGA分布式算法的濾波器設(shè)計的實現(xiàn)方案

分布式的濾波器算法是什么?一種基于FPGA分布式算法的濾波器設(shè)計實現(xiàn)
2021-04-29 07:13:23

一種基于FPGA及NiosII軟核處理器與TFT-LCD接口的方法

  本文介紹一種基于FPGA及NiosII軟核處理器與TFT-LCD接口的方法。它直接采用CPU對存貯器的讀寫,實現(xiàn)了對TFT-LCD屏的實時操作。它具有直接、有效和速度快等特點。該設(shè)計使CPU對TFT-LCD的控制極其簡單化。
2021-05-08 07:21:11

一種基于FPGA的微處理器的IP的設(shè)計方法

本文根據(jù)FPGA的結(jié)構(gòu)特點,圍繞在FPGA上設(shè)計實現(xiàn)八位微處理器軟核設(shè)計方法進(jìn)行探討,研究了片上系統(tǒng)的設(shè)計方法和設(shè)計復(fù)用技術(shù),并給出了指令集和其調(diào)試方法,提出了一種基于FPGA的微處理器的IP的設(shè)計方法
2021-04-29 06:38:37

一種基于FPGA的提取位同步時鐘DPLL設(shè)計

本文主要研究了一種基于FPGA、自頂向下、模塊化、用于提取位同步時鐘的全數(shù)字鎖相環(huán)設(shè)計方法。
2021-05-06 08:00:46

一種高檔FPGA可重構(gòu)配置方法

求大神分享一種高檔FPGA可重構(gòu)配置方法
2021-04-29 06:16:54

求大佬分享一種基于FPGA的OLED真彩色動態(tài)圖像顯示的實現(xiàn)方法

求大佬分享一種基于FPGA的OLED真彩色動態(tài)圖像顯示的實現(xiàn)方法
2021-06-01 06:38:14

給大家介紹一種PCB設(shè)計復(fù)用方法

本文介紹一種PCB設(shè)計復(fù)用方法,它是基于Mentor Graphics的印制電路板設(shè)計工具Board Station進(jìn)行的。
2021-05-06 07:10:13

給大家介紹一種軟件修正方法

本文介紹一種三軸正交型傳感器正交性的軟件修正方法。
2021-05-07 06:53:11

請教大神如何去設(shè)計一種SPI4.2接口?

本文介紹一種FPGA和IPX2805之間的SPI4.2接口模塊設(shè)計的方法,對硬件設(shè)計進(jìn)行了說明,著重闡述了FPGA內(nèi)部SPI4.2接口模塊設(shè)計。
2021-05-06 09:22:44

請問怎樣去實現(xiàn)一種基于FPGA的矩陣運算?

請問怎樣去實現(xiàn)一種基于FPGA的矩陣運算?
2021-06-22 07:00:19

基于FPGA的級聯(lián)積分梳狀濾波器設(shè)計與實現(xiàn)

軟件無線電中的多速率信號處理.介紹r級聯(lián)積分梳狀濾波器的基本組成及設(shè)計原理,給出了基于FPGA 的具體設(shè)計方案及實現(xiàn)方法。仿真結(jié)果表明,該設(shè)計簡單合理,使用靈活方便,
2009-07-07 14:38:3032

自適應(yīng)LMS濾波器在FPGA中的實現(xiàn)

本文介紹了自適應(yīng)濾波器的實現(xiàn)方法,給出了基于LMS 算法自適應(yīng)濾波器在FPGA 中的實現(xiàn),簡單介紹了這種實現(xiàn)方法的各個功能模塊,主要包括輸入信號的延時輸出模塊、控制模塊
2009-09-14 15:51:0034

IIR數(shù)字濾波器設(shè)計-在FPGA實現(xiàn)任意階IIR數(shù)字濾波

IIR數(shù)字濾波器設(shè)計-在FPGA實現(xiàn)任意階IIR數(shù)字濾波器 摘 要:本文介紹一種采用級聯(lián)結(jié)構(gòu)在FPGA實現(xiàn)任意階IIR數(shù)字濾波器的方法。此
2008-01-16 09:45:392276

IIR濾波器零相位數(shù)字濾波及其應(yīng)用

IIR濾波器零相位數(shù)字濾波及其應(yīng)用 摘要: 本文介紹一種利用Delphi7實現(xiàn)數(shù)字濾波器零相位濾波方法,與一般差分濾波方法相比,該方法不僅可以避
2009-10-30 08:05:121341

基于多速率DA的根升余弦濾波器的FPGA實現(xiàn)

基于多速率DA的根升余弦濾波器的FPGA實現(xiàn) 0 引 言    根升余弦成形濾波器是數(shù)字信號處理中的重要部件,它能對數(shù)字信號進(jìn)行成形濾波,壓縮旁瓣,減少
2009-11-13 09:59:541518

采樣率變換器的多相表示結(jié)構(gòu)FPGA實現(xiàn)

本文介紹一種有理數(shù)采樣率變換器的高效多相結(jié)構(gòu),并結(jié)合FPGA芯片的結(jié)構(gòu)進(jìn)行了實現(xiàn)與優(yōu)化。文中的一些方法也適用于其他多采速率系統(tǒng)的設(shè)計。
2011-03-02 10:04:342161

一種面向FPGA的快速HOUGH變換

FPGA上設(shè)計并實現(xiàn)一種用于直線檢測快速HOUGH變換方法。使用分類濾波器把直線目標(biāo)分成多個方向,使多個方向上的運算在空間上實現(xiàn)了并行處理;在每個方向上,設(shè)計實現(xiàn)一種用于HOUGH變換的流水線處理結(jié)構(gòu);提出了一種基于直方圖統(tǒng)計的兩階段搜索算法。大
2011-03-16 13:57:5933

FPGA實現(xiàn)糾錯編碼的一種方法

本文提出了一種FPGA實現(xiàn)糾錯編碼的設(shè)計思想,并以Altera MAX+PluslI為硬件開發(fā)平臺。利用FPGA編程的特點,用軟件編程方法,很好的解決了糾錯編碼中存在的碼速變換和實時性問題,實現(xiàn)
2011-11-10 17:10:5961

基于多相濾波的數(shù)字接收機(jī)的FPGA實現(xiàn)

基于多相濾波的信道化接收機(jī)抽取在濾波之前,運算量小,且輸出速率低,便于FPGA實現(xiàn),這使得在 一片FPGA實現(xiàn)數(shù)字信道化成為可能。本文利用信道頻率重疊的方法連續(xù)覆蓋整個瞬時
2012-05-23 10:43:043538

濾波及輸入衰減模塊 (FIAM) 系列

濾波及輸入衰減模塊 (FIAM) 系列。
2016-05-24 14:14:470

一種基于FPGA的數(shù)字頻譜儀設(shè)計與實現(xiàn)

本文主要介紹一種基于FPGA的數(shù)字頻譜儀設(shè)計與實現(xiàn),該系統(tǒng)主要由信號采集模塊、高速FFT模塊以及LCD顯示模塊組成。信號采集模塊以AD9226芯片為核心,配合前置抗混疊濾波電路實現(xiàn)信號采集;高速FFT模塊FPGA開發(fā)系統(tǒng)通過編程實現(xiàn);LCD顯示模塊選擇4.3寸TFT液晶屏,實現(xiàn)可視化界面。
2017-12-25 09:46:4811955

高速并行成型濾波器的FPGA實現(xiàn)方法

,常規(guī)做法是利用插值和抽取的方法實現(xiàn)數(shù)字信號的變采樣處理,這種方法實現(xiàn)復(fù)雜,硬件成本高。文中提出了一種高速并行成型濾波器的FPGA實現(xiàn)方法,這種基于群延時結(jié)構(gòu)的查找表算法,所需的查找表只需存儲單位沖擊響應(yīng)的采樣值,
2018-02-23 10:14:220

基于FPGA實現(xiàn)多種小波變換

基于提升框架的小波變換方法,利用FPGA 可編程特性可實現(xiàn)多種小波變換。提升框架(LS :Lifting Scheme) 是由Sweldens 等人在近幾年提出的一種小波變換方法,用它的框架結(jié)構(gòu)能有效地計算DWT。對于較長的濾波器,LS 的操作次數(shù)比濾波器組的操作方式減少將近一半,更適合硬件實現(xiàn)
2019-08-18 09:47:571918

如何使用FPGA實現(xiàn)多種小波變換

基于提升框架的小波變換方法,利用FPGA 可編程特性可實現(xiàn)多種小波變換。提升框架(LS :Lifting Scheme) 是由Sweldens 等人在近幾年提出的一種小波變換方法,用它的框架結(jié)構(gòu)能有
2019-08-25 11:01:315747

如何使用FPGA實現(xiàn)FIR抽取濾波器的設(shè)計

FPGA實現(xiàn)抽取濾波器比較復(fù)雜,主要是因為在FPGA中缺乏實現(xiàn)乘法運算的有效結(jié)構(gòu),現(xiàn)在,FPGA中集成了硬件乘法器,使FPGA在數(shù)字信號處理方面有了長足的進(jìn)步。本文介紹一種采用Xilinx公司的XC2V1000實現(xiàn)FIR抽取濾波器的設(shè)計方法。
2020-09-25 10:44:003

如何使用FPGA實現(xiàn)小波圖像的方法詳細(xì)說明

基于小波變換濾波方法應(yīng)用于紅外圖像處理中可以在降低噪聲的同時提升圖像細(xì)節(jié),有效改善圖像畫質(zhì)。介紹一種采用FPGA的小波圖像處理方法及其硬件處理架構(gòu)。通過合理有效地進(jìn)行算法硬件設(shè)計,在單片FPGA芯片上實現(xiàn)了圖像的實時處理,有利于紅外機(jī)芯的小型化。
2021-02-01 14:54:005

基于FPGA提升框架的小波變換方法

基于提升框架的小波變換方法,利用FPGA 可編程特性可實現(xiàn)多種小波變換。提升框架(LS :Lifting Scheme) 是由Sweldens 等人在近幾年提出的一種小波變換方法,用它的框架結(jié)構(gòu)能有
2023-05-11 15:33:30456

已全部加載完成