電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>軍用/航空電子>基于FPGA的新型電容數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA的新型電容數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

12下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

基于FPGA數(shù)據(jù)采集控制模塊設(shè)計(jì)

基于FPGA數(shù)據(jù)采集控制模塊設(shè)計(jì)  0 引 言   數(shù)據(jù)采集和控制系統(tǒng)是對(duì)生產(chǎn)過程或科學(xué)實(shí)驗(yàn)中各種物理量進(jìn)行實(shí)時(shí)采集、測(cè)試和反饋控制的
2010-02-08 10:00:281433

FPGA ad928模塊數(shù)據(jù)采集。

編寫的ad9280模塊數(shù)據(jù)采集程序,信號(hào)發(fā)生器產(chǎn)生一個(gè)正弦波,8Vpp,但是采集到的都是噪聲,求幫忙看看,那出現(xiàn)問題了,我是菜鳥,剛學(xué)習(xí)FPGA。謝謝大神!
2017-11-28 14:15:51

FPGA實(shí)現(xiàn)數(shù)據(jù)采集的方式對(duì)比(傳統(tǒng)串口、數(shù)據(jù)采集卡及外設(shè)計(jì)接口)

來完成對(duì)數(shù)據(jù)采集與傳輸。1 數(shù)據(jù)采集和傳輸系統(tǒng)方案設(shè)計(jì)數(shù)據(jù)采集和傳輸系統(tǒng)主要由3部分組成,USB2.0通道、FPGA和A/D轉(zhuǎn)換器組成,如圖1所示。CY7C68013是Cypress公司推出
2020-01-07 07:00:00

數(shù)據(jù)采集系統(tǒng)

請(qǐng)大家?guī)兔?,我想利用DAQ編程數(shù)據(jù)采集系統(tǒng),并且利用數(shù)據(jù)庫(kù)存儲(chǔ)數(shù)據(jù),請(qǐng)大家?guī)兔?,誰(shuí)有好的數(shù)據(jù)采集的實(shí)例請(qǐng)發(fā)一下編程vi至1538568180@qq.com。謝謝!
2015-03-13 20:23:14

DSP28335+FPGA+AD7606數(shù)據(jù)采集系統(tǒng)

DSP28335+FPGA+AD7606的數(shù)據(jù)采集系統(tǒng),DSP采用TI公司的TMS320F28335,FPGA使用 ALTERA的Cyclone3 的 EP3C5E144C8N在設(shè)計(jì)的過程中遇到
2016-07-18 16:53:28

DSP28335+FPGA+AD7606數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

DSP28335+FPGA+AD7606的數(shù)據(jù)采集系統(tǒng),DSP采用TI公司的TMS320F28335,FPGA使用 ALTERA的Cyclone3 的 EP3C5E144C8N在設(shè)計(jì)的過程中遇到
2016-07-18 17:13:01

DSP的FPGA的高速數(shù)據(jù)采集系統(tǒng)的研究與設(shè)計(jì)

DSP的FPGA的高速數(shù)據(jù)采集系統(tǒng)的研究與設(shè)計(jì),大家可以看看
2015-04-03 21:23:48

LTC1290CCSW%23PBF

單芯片12位數(shù)據(jù)采集系統(tǒng)
2023-03-28 18:28:42

LTC1296DCSW%23PBF

單芯片12位數(shù)據(jù)采集系統(tǒng)
2023-03-23 07:59:40

基于FPGA 的DSP 數(shù)據(jù)采集分析系統(tǒng)設(shè)計(jì)

基于FPGA 的DSP 數(shù)據(jù)采集分析系統(tǒng)設(shè)計(jì)
2012-08-20 15:35:41

基于FPGA+AD7609的數(shù)據(jù)采集系統(tǒng)實(shí)現(xiàn)

。為了實(shí)現(xiàn)高速、連續(xù)采樣的數(shù)據(jù)采集系統(tǒng),本文介紹了一種基于 FPGA +AD7609的數(shù)據(jù)采集系統(tǒng)的構(gòu)成及技術(shù)實(shí)現(xiàn)。采用 FPGA 作為主模塊,AD7609為數(shù)據(jù)采集模塊,并設(shè)計(jì)了硬件實(shí)現(xiàn)電路。實(shí)驗(yàn)測(cè)試
2018-08-09 14:28:00

基于FPGA+DSP的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA+DSP的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)
2012-06-27 17:23:53

基于FPGA與SRAM數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA與SRAM數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)中文期刊文章作  者:江麗 肖思其作者機(jī)構(gòu):[1]湖南高速鐵路職業(yè)技術(shù)學(xué)院,湖南衡陽(yáng)421002出 版 物:《科技資訊》 (科技資訊)年 卷 期:2017年 第
2018-05-09 12:09:43

基于FPGA數(shù)據(jù)采集系統(tǒng)

基于FPGA數(shù)據(jù)采集系統(tǒng)IEE ...  介紹了數(shù)據(jù)采集系統(tǒng)中以FPGA為處理核心、采用TI公司接口芯片的IEEE1394接口設(shè)計(jì),給出了系統(tǒng)硬件設(shè)計(jì)和FPGA邏輯設(shè)計(jì),討論了IEEE1394總線
2012-08-11 15:43:47

基于FPGA數(shù)據(jù)采集系統(tǒng)求助

新手一枚,本人畢設(shè)要做一個(gè)基于FPGA的GNSS數(shù)據(jù)采集系統(tǒng)。目前已經(jīng)擬定開發(fā)方案,大致思路是這樣的:通過射頻前端獲取L1頻段的GNSS信號(hào),經(jīng)帶通采樣下變頻到中頻,把采樣后的信號(hào)送入FPGA進(jìn)行
2015-04-28 09:56:02

基于FPGA數(shù)據(jù)采集

有會(huì)做基于FPGA數(shù)據(jù)采集卡的么,畢設(shè)要用的,實(shí)在不會(huì)啦,求指導(dǎo)~~~
2014-04-14 16:40:53

基于FPGA數(shù)據(jù)采集存儲(chǔ)系統(tǒng)

設(shè)計(jì)高溫環(huán)境下(最高120°)基于FPGA數(shù)據(jù)采集存儲(chǔ)系統(tǒng),就是通過傳感器采集數(shù)據(jù),通過FPGA來控制,把數(shù)據(jù)存儲(chǔ)到存儲(chǔ)芯片上,回頭可以通過接口讀取數(shù)據(jù)顯示在計(jì)算機(jī)上,求大師給我指導(dǎo),我急需整個(gè)系統(tǒng)的protel原理圖,請(qǐng)各位幫忙,我將感激不盡。最好有附件畫出整個(gè)原理圖,謝謝!
2012-10-29 21:37:12

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)該怎么設(shè)計(jì)?

目前,在數(shù)據(jù)采集系統(tǒng)的硬件設(shè)計(jì)方案中,有采用通用單片機(jī)和USB相結(jié)合的方案,也有采用DSP和USB相結(jié)合的方案,前者雖然硬件成本低,但是時(shí)鐘頻率較低,難以滿足數(shù)據(jù)采集系統(tǒng)對(duì)速度要求;后者雖然可以實(shí)現(xiàn)
2019-09-05 07:22:57

基于FPGA的高速實(shí)時(shí)數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA的高速實(shí)時(shí)數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)
2012-08-20 20:00:14

基于ARM+FPGA的高速同步數(shù)據(jù)采集

的網(wǎng)絡(luò)數(shù)據(jù)傳輸。3、 系統(tǒng)結(jié)構(gòu)高速同步數(shù)據(jù)采集系統(tǒng)主要包括以下幾個(gè)部分:ARM控制器、存儲(chǔ)電路、FPGA邏輯控制電路、A/D轉(zhuǎn)換電路、FIFO緩存、電源電路、接口電路等。系統(tǒng)具備多通道數(shù)據(jù)采集接口
2010-08-31 09:14:55

基于QuickUSB的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

引言工程師在設(shè)計(jì)基于PC的數(shù)據(jù)采集系統(tǒng)時(shí)都認(rèn)為,要想獲得比較好的性能,都需要使用PCI總線。其實(shí)并不是這樣,隨著USB通信技術(shù)的不斷發(fā)展,USB總線的傳輸速度和可靠性都在逐步提升。對(duì)于廣范
2019-06-11 05:00:06

基于STM32的新型電力數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

引言傳統(tǒng)的電力數(shù)據(jù)采集系統(tǒng)受限于有限的存儲(chǔ)空間和通信接口,存在精度不高、實(shí)時(shí)性差、采集信息量小等缺點(diǎn),已無(wú)法滿足實(shí)際的電力系統(tǒng)調(diào)度與管理需要,本文提出的基于STM32的新型電力數(shù)據(jù)采集器充分利用
2018-10-19 16:29:21

多路模擬數(shù)據(jù)采集接口設(shè)計(jì)

該文檔為基于FPGA的多路模擬數(shù)據(jù)采集接口設(shè)計(jì)講解文檔,介紹一種基于 8,RQ 的多路模擬數(shù)據(jù)采集接口的設(shè)計(jì)方案。該方案使用Max1281 作為模數(shù)轉(zhuǎn)換芯片,在 APA150 FPGA 中設(shè)計(jì)和實(shí)現(xiàn)了相關(guān)的接口控制、配置和數(shù)據(jù)存儲(chǔ)模塊;給出了系統(tǒng)設(shè)計(jì)框圖、FPGA開發(fā)要點(diǎn)和仿真波形。
2018-09-21 14:37:00

如何利用FPGA實(shí)現(xiàn)高速連續(xù)數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)?

高速連續(xù)數(shù)據(jù)采集系統(tǒng)的背景及功能是什么?如何利用FPGA實(shí)現(xiàn)高速連續(xù)數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)?FPGA在高速連續(xù)數(shù)據(jù)采集系統(tǒng)中的應(yīng)用有哪些?
2021-04-08 06:19:37

如何采用數(shù)據(jù)采集卡設(shè)計(jì)測(cè)井數(shù)據(jù)采集控制系統(tǒng)?

測(cè)井數(shù)據(jù)采集控制系統(tǒng)原理是什么?由哪些構(gòu)成?數(shù)據(jù)采集有哪些方案設(shè)計(jì)?如何采用數(shù)據(jù)采集卡設(shè)計(jì)測(cè)井數(shù)據(jù)采集控制系統(tǒng)?
2021-04-13 06:25:12

怎么設(shè)計(jì)新型8通道數(shù)據(jù)采集系統(tǒng)

數(shù)據(jù)采集是通過采樣電路將輸入的模擬信號(hào)轉(zhuǎn)換成離散信號(hào),并送入CPU進(jìn)行處理,已經(jīng)廣泛應(yīng)用在現(xiàn)代工業(yè)控制的各個(gè)方面。數(shù)據(jù)采集的速度和精度,很大程度上影響到整個(gè)系統(tǒng)的工作能力。常用數(shù)據(jù)采集方案是以MCU
2019-08-15 07:07:46

怎么設(shè)計(jì)新型8通道數(shù)據(jù)采集系統(tǒng)?

數(shù)據(jù)采集是通過采樣電路將輸入的模擬信號(hào)轉(zhuǎn)換成離散信號(hào),并送入CPU進(jìn)行處理,已經(jīng)廣泛應(yīng)用在現(xiàn)代工業(yè)控制的各個(gè)方面。數(shù)據(jù)采集的速度和精度,很大程度上影響到整個(gè)系統(tǒng)的工作能力。常用數(shù)據(jù)采集方案是以MCU
2019-08-16 06:57:48

求基于FPGA和labview的數(shù)據(jù)采集資料

我做的是基于FPGA和labview的溫濕度數(shù)據(jù)采集系統(tǒng),由于比較缺少數(shù)據(jù)采集這方面的開發(fā)經(jīng)驗(yàn),望各位大神不吝賜教?。?!
2015-03-21 11:00:43

求基于FPGA數(shù)據(jù)采集系統(tǒng)資料

我正在學(xué)習(xí)基于FPGA數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì),請(qǐng)各位大神提供些有關(guān)這方面的資料,最好是Verilog HDL代碼!謝謝!
2015-07-16 09:23:32

求基于XILINX的FPGA數(shù)據(jù)采集系統(tǒng)

`求基于XILINX的FPGA數(shù)據(jù)采集系統(tǒng),感激不盡!`
2015-06-29 22:13:07

求:基于FPGA數(shù)據(jù)采集存儲(chǔ)系統(tǒng)的sch原理圖

設(shè)計(jì)高溫環(huán)境下(最高120°)基于FPGA數(shù)據(jù)采集存儲(chǔ)系統(tǒng),就是通過傳感器采集數(shù)據(jù),通過FPGA來控制,把數(shù)據(jù)存儲(chǔ)到存儲(chǔ)芯片上,回頭可以通過接口讀取數(shù)據(jù)顯示在計(jì)算機(jī)上,求大師給我指導(dǎo),我急需整個(gè)系統(tǒng)的protel原理圖,請(qǐng)各位幫忙,我將感激不盡。最好有附件畫出整個(gè)原理圖,謝謝!
2012-10-29 21:29:15

用ARM和FPGA怎么設(shè)計(jì)便攜式人工地震數(shù)據(jù)采集系統(tǒng)?

近年來,隨著可編程邏輯器件(CPLD/FPGA)的迅猛發(fā)展,可編程邏輯器件在數(shù)據(jù)采集、邏輯接口設(shè)計(jì)、電平接口轉(zhuǎn)換和高性能數(shù)字信號(hào)處理等領(lǐng)域取得越來越廣泛的應(yīng)用。CPLD/FPGAD不僅可以解決電子系統(tǒng)
2020-03-05 06:20:45

討論如何利用FPGA設(shè)計(jì)圖像數(shù)據(jù)采集傳輸系統(tǒng)?

綜合考慮到圖像采集系統(tǒng)所要求的實(shí)時(shí)性,可靠性,以及FPGA在數(shù)字電路的設(shè)計(jì)中的優(yōu)勢(shì),為此本文討論如何利用FPGA設(shè)計(jì)基于LVDS的圖像數(shù)據(jù)采集傳輸系統(tǒng)?
2021-04-08 06:48:28

請(qǐng)問數(shù)據(jù)采集系統(tǒng)該如何去設(shè)計(jì)?

數(shù)據(jù)采集系統(tǒng)是由哪些部分組成的?Blackfin及uClinux在數(shù)據(jù)采集系統(tǒng)中有哪些應(yīng)用?數(shù)據(jù)采集系統(tǒng)該如何去設(shè)計(jì)?
2021-04-26 06:20:04

采用PCI總線流水式高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

采用PCI總線流水式高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)摘要:目前基于PCI總線的高速數(shù)據(jù)采集系統(tǒng),大多采用高速A/D,CPLD或FPGA,FIFO或雙端口RAM以及通用PCI接口來設(shè)計(jì),其通用性、靈活性差,不能
2009-10-30 15:09:49

基于FPGA 的PXI數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

從自主研發(fā)的角度,介紹了一種以PXI 總線為接口,采用現(xiàn)場(chǎng)可編程門陣列(FPGA)為邏輯控制單元的數(shù)據(jù)采集系統(tǒng)。在介紹系統(tǒng)總體設(shè)計(jì)方案的基礎(chǔ)上,詳細(xì)討論了采集部分的功能實(shí)
2009-07-08 14:54:2418

基于PCI總線的新型數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

本文對(duì)基于PCI 總線的數(shù)據(jù)采集系統(tǒng)硬件及軟件設(shè)計(jì)作了詳細(xì)的說明,設(shè)計(jì)出的數(shù)據(jù)采集系統(tǒng)可以應(yīng)用于諸如數(shù)字示波器、數(shù)字頻譜儀和語(yǔ)音識(shí)別等領(lǐng)域。系統(tǒng)采用了PCI 總線作為
2009-08-15 10:48:2021

基于FPGA的高速連續(xù)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

本文提出了一種用于雷達(dá)回波信號(hào)采集的高速數(shù)據(jù)采集系統(tǒng)。該系統(tǒng)實(shí)現(xiàn)了對(duì)數(shù)十兆赫的回波信號(hào)進(jìn)行連續(xù)的采樣和存儲(chǔ)。系統(tǒng)通過FPGA控制數(shù)據(jù)連續(xù)采集、緩沖,通過PCI9056將緩沖區(qū)
2009-08-15 11:45:5323

基于SignaltapII的高速數(shù)據(jù)采集系統(tǒng)

提出了使用FPGA控制DDR SDRAM的讀寫和Signaltap II的觸發(fā)條件,實(shí)現(xiàn)了高速數(shù)據(jù)采集。通過FPGA和DDR SDRAM完成高速數(shù)據(jù)采集之后,利用在線邏輯分析儀Signaltap II將采集到的數(shù)據(jù)借助JTAG口
2009-09-15 16:25:2631

基于FPGA的高速多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

本文介紹了一種基于FPGA 的高速多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)方案,描述了系統(tǒng)的主要組成及FPGA 的實(shí)現(xiàn)方法。在硬件上FPGA 采用ACEX1K100 器件,用于實(shí)現(xiàn)A/D 轉(zhuǎn)換器的控制電路、多路
2009-12-19 16:02:3350

基于FPGA的激光粒度儀數(shù)據(jù)采集系統(tǒng)

本文采用ALTERA 公司Cyclone 系列的FPGA 芯片和IP 核PCI_t32,設(shè)計(jì)了可應(yīng)用于LSA 系列激光粒度測(cè)試儀的數(shù)據(jù)采集系統(tǒng),并在FPGA 內(nèi)部實(shí)現(xiàn)了系統(tǒng)的控制邏輯和PCI總線接口。該系統(tǒng)利用AD73
2009-12-28 11:11:0322

基于FPGA的微應(yīng)變數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

提出了一種利用ARM 和FPGA 架構(gòu)實(shí)現(xiàn)對(duì)多通道微應(yīng)變信號(hào)進(jìn)行數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)方案。通過FPGA 輸出模擬量調(diào)節(jié)和內(nèi)部數(shù)字量調(diào)節(jié)的方法,實(shí)現(xiàn)了對(duì)微應(yīng)變傳感器的零點(diǎn)校準(zhǔn)???/div>
2009-12-31 14:49:3227

基于FPGA的160路數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

目前,數(shù)據(jù)采集系統(tǒng)對(duì)采樣率、分辨率和抗干擾能力的要求越來越高。尤其是在典型的多路采集+多路開關(guān)+單路A/D轉(zhuǎn)換器的數(shù)據(jù)采集中,采集速度受到限制。為此,介紹了一種基于現(xiàn)
2010-12-20 16:35:3856

基于FPGA的多通道同步數(shù)據(jù)采集存儲(chǔ)系統(tǒng)

設(shè)計(jì)一種基于FPGA的多通道同步數(shù)據(jù)采集存儲(chǔ)系統(tǒng),分為多通道同步數(shù)據(jù)采集模塊和數(shù)據(jù)存儲(chǔ)模塊。系統(tǒng)設(shè)計(jì)采用多通道數(shù)據(jù)的同步實(shí)時(shí)采集以及壞塊檢測(cè)技術(shù)。多通道同步數(shù)據(jù)采集
2010-12-27 15:31:3370

采用FPGA的高速數(shù)據(jù)采集系統(tǒng)

采用FPGA的高速數(shù)據(jù)采集系統(tǒng) 隨著科學(xué)技術(shù)的發(fā)展,數(shù)據(jù)采集技術(shù)進(jìn)入到越來越多的領(lǐng)域。目前,已廣泛應(yīng)用于通信,圖像處理,軍事應(yīng)用,消費(fèi)電子,智能控制等方面
2009-04-20 11:03:132118

基于USB2.0與FPGA技術(shù)的高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

基于USB2.0與FPGA技術(shù)的高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì) 基于USB2.0與FPGA技術(shù)的高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì) 近年來筆記本電腦迅速普及和更新,其中大部分已經(jīng)
2009-04-22 19:56:151347

基于FPGA的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì) 大地電磁場(chǎng)攜帶著地球內(nèi)部的結(jié)構(gòu)、構(gòu)造、溫度、壓力以及物質(zhì)成分的物理狀態(tài)等信息,為人們研
2009-10-25 11:12:181240

基于FPGA的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì) 大地電磁場(chǎng)攜帶著地球內(nèi)部的結(jié)構(gòu)、構(gòu)造、溫度、壓力以及物質(zhì)成分的物理狀態(tài)等信息,為人們研究板塊運(yùn)動(dòng)的規(guī)律、追溯地球的演化歷
2009-11-05 09:04:511360

基于CPLD/FPGA高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

基于CPLD/FPGA高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì) 0 引 言    傳統(tǒng)的數(shù)據(jù)采集系統(tǒng)一般采用單片機(jī),系統(tǒng)大多通過PCI總線完成數(shù)據(jù)的傳輸。其缺點(diǎn)是數(shù)學(xué)運(yùn)算能力差;
2010-01-27 09:35:01508

FPGA芯片在高速數(shù)據(jù)采集緩存系統(tǒng)中的應(yīng)用

FPGA芯片在高速數(shù)據(jù)采集緩存系統(tǒng)中的應(yīng)用 概 述在高速數(shù)據(jù)采集方面,FPGA有單片機(jī)和DSP無(wú)法比擬的優(yōu)勢(shì)。FPGA的時(shí)鐘頻率高,內(nèi)部時(shí)延小,全部控制邏輯都可由硬
2010-03-30 10:51:15881

一種基于DSP和FPGA的多通道數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

摘要:為準(zhǔn)確地分析工業(yè)生產(chǎn)中的各種數(shù)據(jù)參數(shù),結(jié)合高速DSP和FPGA的特點(diǎn),設(shè)計(jì)一套數(shù)據(jù)采集系統(tǒng),應(yīng)用FPGA的內(nèi)部邏輯實(shí)現(xiàn)時(shí)序控制,以DSP作為采集系統(tǒng)的核心,對(duì)采集到的數(shù)據(jù)進(jìn)行濾波等處理,并將處理后的結(jié)果通過USB口傳輸?shù)接?jì)算機(jī)。設(shè)計(jì)中還采用ADC0809模數(shù)轉(zhuǎn)換
2011-02-27 23:04:0096

基于FPGA與USB2.0的便攜式數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

針對(duì)目前插卡式數(shù)據(jù)采集卡拆卸的不方便、體積較大以及傳統(tǒng)單片機(jī)控制采集速度低、非實(shí)時(shí)等一系列缺陷,設(shè)計(jì)了一套基于FPGA與USB2.0的便攜式高速數(shù)據(jù)采集系統(tǒng)。FPGA作為主控制器對(duì)
2011-03-15 12:57:29110

FPGA在膜式氧合器測(cè)試數(shù)據(jù)采集系統(tǒng)中的應(yīng)用

針對(duì)膜式氧合器測(cè)試中多傳感器數(shù)據(jù)采集的特性,設(shè)計(jì)了一種醫(yī)用膜式氧合器氧擴(kuò)散滲透率檢測(cè)的多路數(shù)據(jù)采集系統(tǒng);系統(tǒng)FPGA為主控制模塊,對(duì)FPGA硬件資源進(jìn)行功能劃分,分別實(shí)現(xiàn)A/D轉(zhuǎn)換控制、FIFO數(shù)據(jù)緩存、時(shí)鐘分頻等功能,最后通過USB接口實(shí)現(xiàn)了數(shù)據(jù)傳輸;
2011-03-15 15:16:0821

基于FPGA的多路光柵數(shù)據(jù)采集系統(tǒng)

本課題基于關(guān)節(jié)臂式坐標(biāo)測(cè)量機(jī)的研制需要,研究了 光柵傳感器 輸出信號(hào)的特點(diǎn)和FPGA開發(fā)技術(shù),以FPGA為載體,設(shè)計(jì)了一個(gè)基于FPGA的多路光柵數(shù)據(jù)采集系統(tǒng)。 本文主要介紹了光柵傳感
2011-08-18 16:34:5578

DSP+FPGA實(shí)現(xiàn)測(cè)井數(shù)據(jù)采集系統(tǒng)

文章介紹了一種基于DSP爭(zhēng)FPGA結(jié)構(gòu)的高精度測(cè)井數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)方法,包括信號(hào)調(diào)理、數(shù)據(jù)采集、數(shù)據(jù)處理等。整個(gè)系統(tǒng)使用16位高精度A/D轉(zhuǎn)換芯片AD974。數(shù)字電路部分采用Xilinx公司
2011-09-08 17:46:5570

一種新型數(shù)據(jù)采集與監(jiān)控系統(tǒng)的實(shí)現(xiàn)

文章根據(jù) 物聯(lián)網(wǎng) 的三種應(yīng)用架構(gòu)并結(jié)合數(shù)據(jù)采集與監(jiān)控系統(tǒng)的體系結(jié)構(gòu),設(shè)計(jì)了一種新型數(shù)據(jù)采集與監(jiān)控系統(tǒng)方案。該系統(tǒng)與傳統(tǒng)的實(shí)現(xiàn)方案相比,該方案的通信組網(wǎng)有明顯的優(yōu)勢(shì)。 1
2011-09-27 11:25:462141

基于FPGA的USB接口數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

介紹了一種高速實(shí)時(shí)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)。該系統(tǒng)FPGA作為邏輯控制的核心,以USB2.0作為與上位機(jī)數(shù)據(jù)傳輸?shù)慕涌?,能同時(shí)支持單端16路和差分8路模擬信號(hào)輸入,最大采樣率為200 kHz,
2011-09-29 17:16:3662

基于FPGA的主從式高速數(shù)據(jù)采集與傳輸系統(tǒng)

針對(duì)數(shù)據(jù)采集系統(tǒng)有信號(hào)形式多樣、實(shí)時(shí)傳輸和靈活配置的要求,介紹了一種基于FPGA數(shù)據(jù)采集和傳輸系統(tǒng),以及系統(tǒng)數(shù)字電路的程序設(shè)計(jì)。該系統(tǒng)以現(xiàn)場(chǎng)可編程邏輯陣列(FPGA)作為
2011-09-29 17:31:0454

基于FPGA和USB接口的多通道數(shù)據(jù)采集系統(tǒng)

設(shè)計(jì)了一種基于FPGA和USB接口的多通道數(shù)據(jù)采集系統(tǒng)。該系統(tǒng)采用在FPGA芯片中構(gòu)建多個(gè)數(shù)字邏輯模塊的方法,實(shí)現(xiàn)對(duì)AD芯片模數(shù)轉(zhuǎn)換過程的控制,并利用IP核在FPGA中構(gòu)建存儲(chǔ)器,對(duì)采樣得
2011-12-28 10:34:0691

基于FPGA數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

設(shè)計(jì)了一個(gè)基于FPGA數(shù)據(jù)采集系統(tǒng),并用Verilog HDL語(yǔ)言作為描述語(yǔ)言實(shí)現(xiàn)了對(duì)TLC0820的采樣控制和FPGA數(shù)據(jù)處理等過程的控制,以Xilinx ISE 9.1i軟件為平臺(tái),進(jìn)行了設(shè)計(jì)輸入、分析與綜合、
2012-05-08 15:17:0680

遠(yuǎn)程數(shù)據(jù)采集與交互系統(tǒng)設(shè)計(jì)

為了提高大型實(shí)驗(yàn)設(shè)備的利用率,在此提出利用Matlab、數(shù)據(jù)庫(kù)、FPGA和服務(wù)器等軟硬件設(shè)施,實(shí)現(xiàn)遠(yuǎn)程實(shí)驗(yàn)系統(tǒng)數(shù)據(jù)采集的方案。該方案中設(shè)計(jì)了基于FPGA數(shù)據(jù)采集箱,利用數(shù)據(jù)采集箱將
2012-05-17 09:41:192031

基于FPGA和UART的MCU總線數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

為了實(shí)現(xiàn)某生產(chǎn)線上MCU的數(shù)據(jù)采集,設(shè)計(jì)了一種基于FPGA和UART的數(shù)據(jù)采集系統(tǒng),并完成系統(tǒng)的軟硬件設(shè)計(jì)。整個(gè)設(shè)計(jì)完全采用硬件邏輯VHDL語(yǔ)言,集成在一枚Altera的cyclone芯片內(nèi),設(shè)計(jì)了單
2012-09-25 14:24:1541

基于FPGA數(shù)據(jù)采集與處理系統(tǒng)研究

的多通道數(shù)據(jù)采集系統(tǒng)。所設(shè)計(jì)的系統(tǒng)通過PCI9054 橋接芯片實(shí)現(xiàn)FPGA 局部總線到PMC/PCI總線的轉(zhuǎn)換,而后再通過PMC/PCI總線完成數(shù)據(jù)采集系統(tǒng)與上層母板的連接和通訊。
2015-12-18 15:48:43138

高速數(shù)據(jù)采集系統(tǒng)中的FPGA的設(shè)計(jì)

高速數(shù)據(jù)采集系統(tǒng)中的FPGA的設(shè)計(jì),下來看看
2016-05-10 11:24:3315

基于FPGA_A_D的數(shù)據(jù)采集設(shè)計(jì)及驗(yàn)證

基于FPGA_A_D的數(shù)據(jù)采集設(shè)計(jì)及驗(yàn)證
2016-05-10 11:24:3326

基于FPGA的AD73360數(shù)據(jù)采集方法

基于FPGA的AD73360數(shù)據(jù)采集方法
2016-05-10 11:24:3329

基于FPGA的PXI數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA的PXI數(shù)據(jù)采集系統(tǒng)設(shè)計(jì),下來看看
2016-05-10 13:45:2835

FPGA的高速多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

FPGA的高速多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)。
2016-05-10 13:45:2841

基于FPGA的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

基于FPGA的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì),下來看看。
2016-05-10 13:45:2859

基于FPGA的高速數(shù)據(jù)采集硬件系統(tǒng)設(shè)計(jì)

基于FPGA的高速數(shù)據(jù)采集硬件系統(tǒng)設(shè)計(jì).
2016-05-10 17:06:4043

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)接口設(shè)計(jì)

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)接口設(shè)計(jì).
2016-05-10 17:06:4027

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì),下來看看
2016-05-10 17:06:4019

基于FPGA數(shù)據(jù)采集板設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA數(shù)據(jù)采集板設(shè)計(jì)與實(shí)現(xiàn),下來看看
2016-05-10 17:46:0731

基于FPGA數(shù)據(jù)采集及顯示

基于FPGA數(shù)據(jù)采集及顯示,下來看看。
2016-05-10 17:46:0728

基于FPGA數(shù)據(jù)采集系統(tǒng)IEEE1394接口設(shè)計(jì)

基于FPGA數(shù)據(jù)采集系統(tǒng)IEEE1394接口設(shè)計(jì)
2016-05-10 17:46:0712

基于FPGA高速數(shù)據(jù)采集的解決方案

基于FPGA高速數(shù)據(jù)采集的解決方案,下來看看
2016-05-11 09:46:0113

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)_楊江濤

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì),用ad芯片和sdram構(gòu)成高速數(shù)據(jù)采集系統(tǒng)
2016-05-17 09:49:5135

基于FPGA新型高速CCD圖像數(shù)據(jù)采集系統(tǒng)

基于FPGA新型高速CCD圖像數(shù)據(jù)采集系統(tǒng)
2016-09-22 13:05:3822

基于CY7C68013A和FPGA的4路數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)_智丹

基于CY7C68013A和FPGA的4路數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)_智丹
2017-01-13 21:40:3625

SoCFPGA在聲波測(cè)井數(shù)據(jù)采集系統(tǒng)中的應(yīng)用_張成暉

SoCFPGA在聲波測(cè)井數(shù)據(jù)采集系統(tǒng)中的應(yīng)用_張成暉
2017-03-19 11:41:510

FPGA和UART的MCU總線數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

FPGA和UART的MCU總線數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)
2017-10-31 15:20:518

基于FPGA技術(shù)的USB數(shù)據(jù)采集系統(tǒng)

系統(tǒng)采用先進(jìn)的FPGA技術(shù),能夠進(jìn)行20MHz的高速數(shù)據(jù)采集,并對(duì)采集數(shù)據(jù)快速存儲(chǔ)、讀??;DTE0820采集器具有8個(gè)獨(dú)立通道12位動(dòng)態(tài)范圍的A/D轉(zhuǎn)換器。 為用戶提供了8路同步高速模擬輸入通
2017-11-20 10:11:402

基于FPGA的FIR濾波器數(shù)據(jù)采集系統(tǒng)

數(shù)據(jù)采集系統(tǒng)能實(shí)時(shí)采集生產(chǎn)過程中的各種數(shù)據(jù)、參數(shù),成為獲取系統(tǒng)工作狀態(tài)、運(yùn)行情況的非常重要的手段?;?b class="flag-6" style="color: red">FPGA的FIR濾波器由于具有設(shè)計(jì)靈活、速度快、增益容易控制、穩(wěn)定性好的優(yōu)點(diǎn),逐漸成為數(shù)字信號(hào)
2017-11-23 10:17:013520

基于DSP與FPGA的藍(lán)牙數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

[1]。本設(shè)計(jì)采用了DSP與FPGA協(xié)同控制處理,并用藍(lán)牙傳輸代替有線電纜傳輸,有效地解決了DSP和FPGA單獨(dú)處理的不足與有線電纜傳輸?shù)谋锥?,大大提高?b class="flag-6" style="color: red">數(shù)據(jù)采集處理能力,拓寬了系統(tǒng)在環(huán)境較為惡劣或特殊場(chǎng)所的應(yīng)用。 1 系統(tǒng)硬件設(shè)計(jì) 1.1系統(tǒng)總體設(shè)計(jì) 基
2017-12-02 17:45:54353

如何使用FPGA和DSP進(jìn)行高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

介紹了1種基于FPGA和DSP的高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn),其FPGA采用Altera公司ACEX 1K系列的EPIK50Tcl443器件,DSP芯片采用TI公司TMs320系列
2018-11-07 17:18:2418

如何使用FPGA進(jìn)行多通道同步數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

結(jié)合數(shù)據(jù)采集在往復(fù)式壓縮機(jī)在線監(jiān)測(cè)系統(tǒng)中的應(yīng)用, 設(shè)計(jì)了以FPGA(現(xiàn)場(chǎng)可編程門陣列)為核心的邏輯控制模塊的多通道數(shù)據(jù)采集系統(tǒng)。整個(gè)采集系統(tǒng)可實(shí)現(xiàn)16 路最大工作頻率為100kHz 的模擬信號(hào)的采集
2018-12-18 19:09:4321

如何使用FPGA進(jìn)行高速雷達(dá)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)概述

高速雷達(dá)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)方法。該系統(tǒng)FPGA芯片完成各芯片之間的邏輯控制,具有設(shè)計(jì)靈活、結(jié)構(gòu)簡(jiǎn)單、實(shí)時(shí)性高、可靠性高等優(yōu)點(diǎn)。
2018-12-24 15:20:0019

基于FPGA的在臨空環(huán)境下實(shí)現(xiàn)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

Programmable Gate Array,FPGA)備受青睞,以FPGA為控制核心的數(shù)據(jù)采集系統(tǒng)也日漸興盛。FPGA具有靈活性高、可擴(kuò)展性強(qiáng)和資源豐富的特點(diǎn)[2-3],而且能夠應(yīng)對(duì)各種形式的接口協(xié)議,使其在數(shù)據(jù)采集
2020-01-27 16:02:00768

如何使用FPGA實(shí)現(xiàn)新型高速CCD圖像數(shù)據(jù)采集系統(tǒng)

介紹一種基于Actel公司Fusion StartKit FPGA的線陣CCD圖像數(shù)據(jù)采集系統(tǒng)。以FPGA作為圖像數(shù)據(jù)的控制和處理核心,通過采用高速A/D、異步FIFO、UART以及電平轉(zhuǎn)換、放大
2021-02-02 17:12:325

基于FPGA+STM32雙處理器的高速數(shù)據(jù)采集系統(tǒng)

基于FPGA+STM32雙處理器的高速數(shù)據(jù)采集系統(tǒng)
2021-06-25 10:38:0464

已全部加載完成