電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>測量儀表>是德科技跨出改革重要一步 推出PathWave 軟件平臺

是德科技跨出改革重要一步 推出PathWave 軟件平臺

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

合肥長鑫DRAM正式投片,國產(chǎn)存儲跨出重要一步

知情人士告訴半導體行業(yè)觀察記者,國產(chǎn)存儲三大勢力之一的合肥長鑫正式投片,產(chǎn)品規(guī)格為8Gb LPDDR4,這是國產(chǎn)DRAM產(chǎn)業(yè)的一個里程碑,加上早前宣布在3D NAND Flash取得進展的長江存儲,國內(nèi)企業(yè)在國際主流存儲器上都取得了重大突破,為推動存儲國產(chǎn)化掀開了重要一頁。
2018-07-17 10:03:054958

個剛走出大學年的一步一步的腳印的走上電子設(shè)計員

它們的使用4.熟悉后開始一步一步的熟悉EDA所用的軟件如DXP等,本人英語特差,學起來有點費勁,但是還是慢慢的學,不會的就查5.慢慢的DXP使用的可以了,就開始學習抄板,第塊板正在做著在絲印層,如何快速瞄出各種封裝?別人給了個JPG的絲印圖后續(xù)將自己的路寫給各位,希望各位也多多支持
2014-07-15 12:32:09

一步一步介紹在RK3288 Android系統(tǒng)下進行OpenCL開發(fā)

1、介紹android下OpenCL開發(fā)Firefly rk3288采用Mali-T764的GPU,該GPU支持OpeCL 1.1。下面一步一步介紹android下OpenCL開發(fā)。1.首先我們需要
2022-07-20 16:13:01

一步一步學習ModBus

退縮,知難而上;今日開貼 練習寫ModBus從機程序,因為我對Modbus還算有些了解,所以把modbus從機代碼的編寫作為代碼堆積的開始,一步一個腳印,每日更新,以督促自己,早日成為名副其實的碼農(nóng),然后
2018-09-02 23:05:01

一步一步學:教你在Windows下對硬盤分區(qū)

一步一步學:教你在Windows下對硬盤分區(qū)  雖然很多電腦用戶、尤其是老玩家們,都習慣了在DOS下用FDISK、DM、PQ這些耳熟能詳且駕輕就熟地對硬盤進行分區(qū),但是隨著電腦的不斷普及,初級
2011-02-27 16:44:56

一步一步將FreeRTOS移植到STM32F103上

前言:由于之前聽過太多人抱怨移植FreeRTOS到STM32有各種各樣的問題,小燈經(jīng)過年多對FreeRTOS的研究并在公司產(chǎn)品中應用,多少有些心得,接下來就由小燈以最新版的FreeRTOS為例一步一步移植到STM32F103上,并提醒大家某些需要注意的事項。本文檔為非正式技術(shù)文檔,故排版會有...
2022-02-21 06:47:44

一步一步教你使用uCOS-II組合

一步一步教你使用uCOS-II組合
2013-08-27 18:01:31

一步一步教你開發(fā)嵌入式linux應用程序

本帖最后由 eehome 于 2013-1-5 09:53 編輯 一步一步教你開發(fā)嵌入式linux應用程序
2012-08-13 16:15:18

一步一步教你遠程控制

`第一步:制作自己的物聯(lián)網(wǎng)開發(fā)板。下面是我自己制作的塊基于ESP8266的wifi 物聯(lián)網(wǎng)開發(fā)板。個ESP8266+個繼電器,通過自己搭建的物聯(lián)網(wǎng)后臺實現(xiàn)遠程通信。第二:用lua語言進行
2018-05-26 20:45:33

一步一步精通單端反激式開關(guān)電源設(shè)計

有著息息相關(guān),影響著每個人的生活,所以大家并不陌生,便于入手,因此很多朋友出于工作興趣更愿意從反激開關(guān)電源設(shè)計開始作為電子技術(shù)入門,所以學好它是自己成長關(guān)鍵的一步,也是建立電子技術(shù)興趣關(guān)鍵的一步。學習
2015-09-08 08:43:23

一步步建立_STM32_UCOS_模板

一步步建立_STM32_UCOS_模板
2016-09-29 11:46:08

一步步拆解STC32G屠龍刀示波器開源程序,邊學邊用

,沒買到開發(fā)板之前,自己手工搭了個TFT屏進行軟件測試還算幸運,很容易接通了。2、有了TFT顯示屏,自然,重要的是如何顯示曲線和表格了(1)首先建立個數(shù)組來作波形曲線圖的數(shù)據(jù)存儲(2)建立繪表格、會
2022-09-29 19:59:24

Allegro PCB SI一步一步學會前仿真

Allegro PCB SI一步一步學會前仿真
2014-05-16 10:43:26

Bluetooth?5怎么快人一步

隨著Bluetooth?5的提速,您是否也想要搶占先機,快人一步?現(xiàn)在,工程師可以借助TI針對單模Bluetooth低功耗應用、首款通過全面認證的Bluetooth5協(xié)議棧輕松支持高速模式。
2019-07-29 08:04:14

CC2530一步步演示程序燒寫

CC2530一步步演示程序燒寫第一步——先安裝IAR開發(fā)環(huán)境第二歩——安裝CC2530燒寫工具第三歩——CC2530串口配置軟件使用具體完整步驟看下面文檔
2016-03-03 14:33:11

Gerber檢查你還在用CAM350嗎?DFM軟件一步完成只需幾秒!

5,另外使用華秋DFM軟件,操作步驟只有一步,導入時間為4秒。以操作和效率來講您會考慮使用哪個軟件?CAM350 導文件DFM導入文件官網(wǎng)【下載地址】:https://dfm.elecfans.com/?from=BBS(電腦端下載)
2020-07-01 17:09:47

Hiber 一步一步教你如何在Altium 中導入ALLEGRO的brd文件

本帖最后由 社區(qū)管家 于 2014-12-3 15:07 編輯 Altium 中導入ALLEGRO的brd文件(byHiber) 一步一步教你如何在Altium Designer中導
2014-12-03 15:05:29

KEIL5進行軟件仿真的時候程序不能執(zhí)行,為什么只能點次執(zhí)行一步

使用的芯片是LPC1768用KEIL5進行軟件仿真的時候程序不能執(zhí)行,只能點次執(zhí)行一步而且會在這里卡住再也執(zhí)行不下去求大神解惑?。?!前面配置是這樣的
2019-07-11 17:51:49

PCB學習步驟一步一步

Protel 2004對 Protel DXP 進一步完善。2006年 Altium Designer 6.0 成功推出,集成了更多工具,使用方便,功能更強大,特別在 PCB 設(shè)計這塊性能大大提高
2014-02-18 11:15:43

Quartus ii 12.0版本中 filter設(shè)計最后一步總是動不了

如圖,在Filter設(shè)計中,最后一步 進度條 卡死了
2017-05-26 17:25:33

STM8在待機模式如何進一步降低功耗?

有什么方法可以進一步降低待機模式的功耗
2023-10-12 07:23:28

Xilinx-ISE 最后一步licenses怎么安裝

Xilinx-ISE 最后一步licenses怎么安裝
2012-11-02 13:13:06

[推薦]·零基礎(chǔ)新手建站教程系列:如何一步一步的搭建屬于自己的獨立網(wǎng)站

·零基礎(chǔ)新手建站教程系列:如何一步一步的搭建屬于自己的獨立網(wǎng)站 題記: 或許你很久以前就憧憬自己有個屬于自己的網(wǎng)站,或許你直感覺做個網(wǎng)站那都是"牛"人做的事情.其實你也可以輕松
2010-11-25 19:06:43

[討論]如何一步一步的搭建屬于自己的獨立網(wǎng)站

如何一步一步的搭建屬于自己的獨立網(wǎng)站 題記: 或許你很久以前就憧憬自己有個屬于自己的網(wǎng)站,或許你直感覺做個網(wǎng)站那都是"牛"人做的事情.其實 你也可以輕松擁有個自己的網(wǎng)站
2010-12-02 17:18:28

labview程序中怎樣返回上一步操作

確定按鈕的非是取消按鈕要求按下取消按鈕之后重新執(zhí)行上一步操作例如:在進行中醫(yī)科選擇日期的時候按下了取消鍵就會執(zhí)行普通門診 選擇科室的操作
2018-12-15 20:47:04

stm32是如何一步步實現(xiàn)設(shè)置地址匹配接收喚醒中斷功能的

為什么要設(shè)置地址匹配接收喚醒中斷呢?stm32是如何一步步實現(xiàn)設(shè)置地址匹配接收喚醒中斷功能的?
2022-02-28 08:07:56

stm32是如何將配置從庫函數(shù)調(diào)用一步一步到寄存器的

stm32是如何將配置從庫函數(shù)調(diào)用一步一步到寄存器的?0. 前言1.綜述1.1 流程2.正式開始2.1 LED庫函數(shù)初始化2.2 GPIO_TypeDef2.3 GPIOA的訪問方法2.4
2022-02-15 06:10:57

【HarmonyOS HiSpark Wi-Fi IoT 套件試用連載】一步一步搭開發(fā)環(huán)境(

本人小白個,搭環(huán)境時踩過很多坑,各種失敗,官方的指導對小白來說還是比較難看懂的,尤其像我這種小白,對linux系統(tǒng)不熟悉,邊看官方指導邊百度linux如何操作,一步一步的試,通過N多天的努力
2020-11-12 17:25:53

【HarmonyOS HiSpark Wi-Fi IoT 套件試用連載】一步一步搭開發(fā)環(huán)境(二)

篇《一步一步搭開發(fā)環(huán)境()》中已經(jīng)安裝好ubuntu 20.04服務器版,并且更改為國內(nèi)的阿里源,這樣下載軟件快且穩(wěn)定,具體請看以下鏈接的貼子https://bbs.elecfans.com
2020-11-14 10:45:13

【TI CC3200 LaunchPad試用體驗】3 一步一步創(chuàng)建工程模板

Project編譯整個工程,無任何錯誤報出即可,如圖:圖8編程工程如上圖綜述,上述工程的創(chuàng)建非常重要,是以后編程的第一步,若是不熟練需要多次聯(lián)系。今天晚上就先寫到這里的,呵呵,整個工程的創(chuàng)建都是我實際操作的截圖,應該不會有問題,若是有錯誤,給我支持,我再更正!`
2016-05-30 21:38:45

【Z-turn Board試用體驗】+學習一步一步

學習慢慢來,一步一步,由淺及深,從最簡單的開始。先點亮個燈(哎····好像在哪兒見過!不,我不是在說單片機?。⒏綆У腟D卡連接到PC上格式化(什么?你不想破壞里面的數(shù)據(jù)?因為里面有做好的系統(tǒng)
2015-06-07 17:44:09

【全國產(chǎn)化系列】Firefly推出多款全國產(chǎn)化核心板

可提供更穩(wěn)定、更持續(xù)的平臺,而不會受到復雜的國際形勢影響,讓產(chǎn)品更自主可控。另方面,F(xiàn)irefly目前正在全力促進與鴻蒙、統(tǒng)信、麒麟等國產(chǎn)化操作系統(tǒng)的合作,進一步結(jié)合國產(chǎn)化的軟硬件,推動國產(chǎn)化建設(shè)進程。`
2021-06-15 10:21:22

【分享】一步一步學習uCOS和ARM體系結(jié)構(gòu)的心得

【分享】一步一步學習uCOS和ARM體系結(jié)構(gòu)的心得學習必備條件:1、塊開發(fā)板——例如44B0開發(fā)板,建議初學者不要去購買那些ARM9體系結(jié)構(gòu)的,因為作為初學者來說ARM9體系的東西是很復雜的,買塊
2014-09-24 11:58:24

【圖文教程】菜鳥教你如何一步一步免費建個網(wǎng)站!

【圖文教程】菜鳥教你如何一步一步免費建個網(wǎng)站!新手最佳教程,站長手把手教你免費建個網(wǎng)站!想要做網(wǎng)站,不懂技術(shù),不懂制作,想免費做網(wǎng)站,請看以下教程!1、不懂任何網(wǎng)站技術(shù),技能,也能快速制作
2011-11-15 17:40:07

為什么推出Virtex-5LXT FPGA平臺和IP解決方案?

為什么推出Virtex-5LXT FPGA平臺和IP解決方案?如何打造個適用于星形系統(tǒng)和網(wǎng)狀系統(tǒng)的串行背板結(jié)構(gòu)接口FPGA?
2021-04-29 06:18:31

為什么我按照視頻一步一步的做還是有這么多錯誤?

都是按照Altium designer 17 繪制89C51開發(fā)板全程實戰(zhàn)視頻一步一步的學的,為什么出現(xiàn)的錯誤和視頻的不樣,而且很多錯誤的。還有我也看了管腳的定義了,就是找不到錯誤在哪
2019-09-17 02:46:01

為什么無法訪問這一步,求大牛幫幫忙

?為什么無法訪問這一步????求大牛幫幫忙
2020-06-16 05:55:38

單片機課程的教學與實驗改革

單片機課程是電子信息類專業(yè)的重要課程,本文介紹了單片機課程的改革實踐與體會,著重從教學方法,實驗手段兩方面闡述改革的方法,并以SPI模塊為例介紹PROTEUS軟件開發(fā)過程和設(shè)計分析過程,實踐證明能加深學生對PIC16F877單片機工作原理的理解,也鍛煉了學生進行軟硬件綜合開發(fā)的能力。
2011-03-07 15:12:26

反激38個步驟,教你一步一步設(shè)計開關(guān)電源

資料很細,教你一步一步設(shè)計開關(guān)電源。學習必備??!
2020-03-20 09:32:08

國外電磁兼容仿真軟件發(fā)展到了哪一步?有什么相關(guān)應用呢?

的迫切要求,出現(xiàn)了專門指導該領(lǐng)域?qū)I(yè)設(shè)計的軟件。美國、意大利、西班牙、俄羅斯、德國、英國、法國等世界先進國家的電磁兼容預測和分析技術(shù)已經(jīng)形成整套數(shù)字仿真和優(yōu)化設(shè)計軟件系統(tǒng),而且刻也沒有停止過數(shù)值算法的完善和創(chuàng)新研究。 那么大家知道國外電磁兼容仿真軟件發(fā)展到了哪一步?有什么相關(guān)應用嗎?
2019-07-31 06:49:59

如何讓計算機視覺更進一步接近人類視覺?

如何讓計算機視覺更進一步接近人類視覺?
2021-06-01 06:27:08

學習STM32f103從點燈一步一步開始

學習STM32f103從點燈開始跟著視頻一步一步的模仿.1、工程的建立,源文件、頭文件2、GPIO的使用3、函數(shù)的定義、使用4、查找函數(shù)的參數(shù)5、查找編譯失敗原因(中文狀態(tài)下的符號、缺少符號 )6
2021-08-23 09:21:35

學習做動畫從哪一步開始?

想試著自己做GIF的動畫,不知道有沒有這方面的軟件介紹,如何開始第一步呢?
2010-05-26 17:25:10

學習單片機的第一步是什么??

我是學生,想學習下單片機。。。我第一步干嘛好呢???要先買單片機的學習板么?買怎樣的???我看到淘寶上大把的,但幾乎都不同。。。我該怎么辦??
2013-11-23 16:40:19

嵌入式arm的第一步是先安裝交叉編譯工具嗎?

嵌入式arm的第一步必須先安裝交叉編譯工具嗎?
2020-07-16 08:09:13

平板電腦的下一步是什么?

業(yè)內(nèi)普遍認為,四核處理器出來以后核數(shù)競爭將逐漸放緩,提升內(nèi)容和用戶體驗將成為國內(nèi)平板電腦廠商下一步該走的路。
2020-04-16 06:24:01

怎么讓直流電源執(zhí)行時 一步一步執(zhí)行

`怎么讓直流電源執(zhí)行時 一步一步執(zhí)行,比如:第一步輸出5V 、2A、 工作10秒、再執(zhí)行第二、第二輸出3V、1A、工作30秒再執(zhí)行第三,求幫助,不知道怎么做!`
2018-03-08 09:02:35

怎樣實現(xiàn)平臺配置并解釋了為什么軟件重要

傻瓜式嵌入式機器學習設(shè)計-ARM特別版,展示了往任何設(shè)備添加機器學習不僅是可能的而且非常簡單。本書重點關(guān)注關(guān)鍵實現(xiàn)點并解釋為什么在計劃的早期這些點非常重要。這本書解釋了怎樣實現(xiàn)平臺配置并解釋了為什么軟件重要。最后,闡述了生態(tài)系統(tǒng)在機器學習中的重要性,且給出了在網(wǎng)絡邊緣使用機器學習的有趣例子。
2021-12-20 08:00:19

怎樣將FreeRTOS一步一步移植到STM32F103上去呢

怎樣將FreeRTOS一步一步移植到STM32F103上去呢?有哪些步驟及其注意事項呢?
2021-11-29 07:39:47

急求助大神幫忙這個AD9850組成框圖是怎么一步一步輸出正弦信號的

這個AD9850組成框圖是怎么一步一步輸出正弦信號的
2019-05-24 22:12:29

想入門嵌入式軟件,但是不知道需要一步一步學什么,請老司機指點

我是名硬件工程師,我想轉(zhuǎn)嵌入式軟件,以前學過點C,想從0開始學嵌入式,想玩單片機或者linux,希望有老司機能幫我指點下,先學什么然后一步一步學什么,學到什么程度,大概就是這個意思,我主要是想學單片機,因為現(xiàn)在公司是做只能硬件的
2020-03-02 14:51:41

手把手教你如何一步一步實現(xiàn)人臉識別的門禁系統(tǒng)

個人臉識別的門禁系統(tǒng)開源源碼及論文,基本功能實現(xiàn),但其教程較簡略且有欠缺。本教程將從零開始,手把手教你如何一步一步實現(xiàn)人臉識別,意在打造個升級完整版、全CSDN最詳細版。本篇將有兩個版本:PC端Ubuntu與嵌入式ARM版本。本教程將從基本...
2021-12-14 06:44:12

手機射頻元件如何進一步集成?

、電視手機。這些采用多種RF技 術(shù)的手機在提供便利的同時也使得手機的設(shè)計變得復雜,如何進一步集成射頻元件也變得至關(guān)重要。
2019-08-27 08:33:19

教你怎樣一步一步去建立STM32工程呢

怎樣一步一步去建立STM32工程呢?其過程是怎樣的?
2021-10-28 08:53:27

林鋒教你一步一步玩機器人(arduino)--制作篇(入門組件A)

`林鋒教你一步一步玩機器人(arduino)--制作篇(入門組件A)`
2012-08-16 16:54:19

步進電機接收低到高電平走一步,如果是從高到低呢?也會轉(zhuǎn)一步嗎?

步進電機接收低到高電平走一步,如果是從高到低呢?也會轉(zhuǎn)一步嗎?
2023-05-10 15:07:47

求助cadence16.6裝完之后打不開,總是報錯下邊的錯誤,都是按照步驟一步一步來的!大神解決了發(fā)紅包

求助cadence16.6裝完之后打不開,總是報錯下邊的錯誤,都是按照步驟一步一步來的!大神解決了發(fā)紅包。win7,64位系統(tǒng),安裝步驟都是按照步驟來的,怎么回事!哪位大神解決了,紅包不成敬意!!??!謝謝了
2017-08-05 21:58:51

煉成單片機大俠,這些步驟得一步步來!

本帖最后由 24不可說 于 2016-8-7 21:55 編輯 第一步:數(shù)字I/O的使用使用按鈕輸入信號,發(fā)光二極管顯示輸出電平,就可以學習引腳的數(shù)字I/O功能,在按下某個按鈕后,某發(fā)光二極管
2016-08-05 12:55:44

物聯(lián)網(wǎng)的下一步是什么?

到這點將為進一步取得成功奠定基礎(chǔ)。如果你投入垃圾,那么你會從任何物聯(lián)網(wǎng)分析云平臺中獲取垃圾。因此,最成功的物聯(lián)網(wǎng)系統(tǒng)將測量并報告到其他系統(tǒng)無法做到的水平。對改進測量和報告的這種需求使得良好的硬件非常重要
2018-10-29 17:14:24

玩轉(zhuǎn)嵌入式arm的第一步是先安裝交叉編譯工具嗎?

玩轉(zhuǎn)嵌入式arm的第一步是先安裝交叉編譯工具嗎
2014-04-28 20:43:47

用安卓系統(tǒng)做大腦 Google聯(lián)合奧迪、沃爾沃推出全新汽車控制平臺

樣,只能稱得上是手機的附屬功能。但是現(xiàn)在,Google想要在汽車系統(tǒng)平臺中更近一步,將會推出款完整的車載觸控平臺操作信息娛樂系統(tǒng)?! oogle的最新車載系統(tǒng)將會基于Android 7.0系統(tǒng)打造
2017-05-17 10:51:57

編輯圖象如此簡單,只需一步!

編輯圖象如此簡單,只需一步!咔咔藝術(shù)大師”是款圖像編輯軟件,可以對圖像進行旋轉(zhuǎn)、裁剪、調(diào)色、透明度、特效等多個方面進行設(shè)置,且操作簡單,容易上手,只需輕點鼠標,即可制作出賞心悅目的圖像效果 
2008-06-11 18:07:57

藍牙智能入門套件,你與大師僅一步之遙

中,您將學會如何將基本零件組裝成藍牙智能設(shè)備和如何創(chuàng)建藍牙智能就緒移動應用。 培訓過程中,實際操作實驗講解會幫助您一步步完成Bluetooth Smart設(shè)備構(gòu)建工作,并創(chuàng)建出Bluetooth
2014-12-18 18:07:48

藍牙智能入門套件,你與大師僅一步之遙

中,您將學會如何將基本零件組裝成藍牙智能設(shè)備和如何創(chuàng)建藍牙智能就緒移動應用。 培訓過程中,實際操作實驗講解會幫助您一步步完成Bluetooth Smart設(shè)備構(gòu)建工作,并創(chuàng)建出Bluetooth
2014-12-18 18:13:45

藍牙智能入門套件,你與大師僅一步之遙

中,您將學會如何將基本零件組裝成藍牙智能設(shè)備和如何創(chuàng)建藍牙智能就緒移動應用。 培訓過程中,實際操作實驗講解會幫助您一步步完成Bluetooth Smart設(shè)備構(gòu)建工作,并創(chuàng)建出Bluetooth
2015-01-10 11:27:48

藍牙智能入門套件,你與大師僅一步之遙

中,您將學會如何將基本零件組裝成藍牙智能設(shè)備和如何創(chuàng)建藍牙智能就緒移動應用。 培訓過程中,實際操作實驗講解會幫助您一步步完成Bluetooth Smart設(shè)備構(gòu)建工作,并創(chuàng)建出Bluetooth
2015-01-10 11:29:38

讓主板浴火重生!編輯一步一步教你刷主板BIOS

讓主板浴火重生!編輯一步一步教你刷主板BIOS  電腦開機時是不是會出現(xiàn)些莫名其妙的報錯信息?開機主板自檢不太正常?升級了內(nèi)存之后電腦不太穩(wěn)定?想買新發(fā)布的Phenom II處理器又怕主板不支持
2011-02-26 15:55:00

詳解一步一步設(shè)計開關(guān)電源

=oxh_wx3、【周啟全老師】開關(guān)電源全集http://t.elecfans.com/topic/130.html?elecfans_trackid=oxh_wx 詳解一步一步設(shè)計開關(guān)電源資料來自網(wǎng)絡資源
2019-06-20 20:14:39

請教如何實現(xiàn)提示一步一步然后再提示一步

本帖最后由 suicone 于 2012-7-22 18:24 編輯 就是運行時會有個提示 不要對話框的 就是段文字顯示在某個特定的地方然后按照提示完成一步 比如“請按布爾1按鈕”然后你點布爾1后 文字改變到下個提示“請按布爾2按鈕”諸如此類的現(xiàn)在完全沒有思路額。。。。。
2012-07-22 18:15:14

請問VISA驅(qū)動的安裝步驟是怎么樣的,到了這一步我就不知道怎么辦了,求教

一步也不知道怎么的不能按next鍵進行下一步
2016-01-20 17:01:34

請問怎么從子函數(shù)的某一步返回到主函數(shù)的某一步?

簡單的說就是希望可以在子函數(shù)中的某一步程序執(zhí)行完之后返回到主函數(shù)中段的句指令,嘗試過用goto函數(shù),發(fā)現(xiàn)不允許夸函數(shù),有什么好的辦法么?謝謝了
2019-05-07 05:27:22

誰有AD18精簡版?一步到位安裝那種,提供下

誰有AD18精簡版?一步到位安裝那種,提供下
2018-06-13 18:11:26

誰有Allegro PCB SI一步一步學會前仿真中里面的案例文件,是pcb圖,模型這些文件

我有這個Allegro PCB SI一步一步學會前仿真的文件,要的是案例文件?
2016-11-12 15:43:00

轉(zhuǎn)載:一步一步教你使用uCOS-II 資料整理

轉(zhuǎn)載:一步一步教你使用uCOS-II 資料整理
2012-08-04 11:14:38

一步提高UPS電源的可靠性

及設(shè)備用電安全的需要,更進一步提高電源的可靠性,及時發(fā)現(xiàn)供電隱患,提高設(shè)備的運行壽命,對電源進行在線管理已經(jīng)成為普遍的需求。針對早期的UPS電源的RS232標準,已經(jīng)無法滿足目前計算機硬件及軟件技...
2021-12-28 08:05:27

是德科技首款集仿真、設(shè)計、測試工作流程于一身的平臺

全新的 Keysight PathWave平臺可幫助客戶從概念設(shè)計到產(chǎn)品生產(chǎn)和部署的各個階段加速創(chuàng)新和產(chǎn)品開發(fā),電子產(chǎn)品設(shè)計、測試和優(yōu)化方面的硬件、軟件和服務領(lǐng)先供應商是德科技近日推出
2018-02-17 09:56:281105

是德科技推出新的 PathWave ADS 先進設(shè)計系統(tǒng)解決方案,加速產(chǎn)品開發(fā)工作流程

關(guān)鍵詞:PathWave , ADS , 設(shè)計系統(tǒng) PathWave Memory Designer 軟件縮短設(shè)計周期,避免項目延遲 是德科技推出一款全新的 DDR(雙倍數(shù)據(jù)速率)存儲器仿真軟件
2019-02-12 11:16:02259

是德科技為 PathWave ADS增添新分析工具

關(guān)鍵詞:PEPro , PathWave , ADS , 分析工具 新 PEPro 軟件使設(shè)計人員可以在制造和測試原型機之前方便地分析設(shè)計效果 是德科技公司推出電力電子專業(yè)(PEPro)軟件。該軟件
2019-03-29 14:09:01219

Xilinx首次推出軟件和硬件設(shè)計統(tǒng)一的開發(fā)工具平臺

Vitis是Xilinx首次推出的一個軟件和硬件設(shè)計統(tǒng)一的開發(fā)工具平臺,也是公司從器件向平臺公司戰(zhàn)略轉(zhuǎn)型的重要產(chǎn)品之一。Vitis 統(tǒng)一軟件平臺可實現(xiàn)在 Xilinx 異構(gòu)平臺(包括 FPGA
2020-08-17 09:06:00940

是德科技宣布其 PathWave 軟件套件增添了更多、更強大的新功能

2020年10月20日,北京是德科技公司(NYSE:KEYS)宣布其 PathWave 軟件套件增添了更多、更強大的新功能。新 PathWave 解決方案使工程師能夠借助云處理集群突破工作流
2020-10-22 09:48:361733

是德科技推出新版PathWave先進設(shè)計系統(tǒng)(ADS)2023

2022年7月6日,是德科技(Keysight Technologies,Inc.)推出新版 PathWave 先進設(shè)計系統(tǒng)(ADS)2023。這款綜合了設(shè)計與仿真的軟件可以迅速應對射頻(RF
2022-07-06 14:10:543106

【12月6-8日|線上】PathWave 2023設(shè)計軟件新功能發(fā)布暨EDA設(shè)計論壇

PathWave器件建模軟件的最新功能,誠邀您預約參會! ? DAY 1:2022年12月6日?- 射頻微波設(shè)計與器件建模專場 ? DAY 2:2022年12月7日?- 高速數(shù)字設(shè)計專場 ? DAY
2022-12-01 07:50:05676

是德科技推出PathWave Design 2024 EDA軟件工具

2023年7月14日,是德科技(Keysight Technologies,Inc.)推出 PathWave Design 2024。這套新版本的電子設(shè)計自動化(EDA)軟件工具為設(shè)計工程師帶來了全新的軟件自動化、設(shè)計數(shù)據(jù)及 IP 管理,以及團隊協(xié)作和開發(fā)周期轉(zhuǎn)型能力。
2023-07-14 14:14:04403

已全部加載完成