電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>一種出租車(chē)計(jì)費(fèi)器設(shè)計(jì)方案

一種出租車(chē)計(jì)費(fèi)器設(shè)計(jì)方案

12下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

基于FPGA的出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)

本文基于FPGA,設(shè)計(jì)了一種出租車(chē)計(jì)費(fèi)系統(tǒng),它可以直觀地顯示出租車(chē)行駛的里程和乘客應(yīng)付的費(fèi)用。
2011-10-18 16:35:104668

如何使用Arduino制作數(shù)字出租車(chē)計(jì)費(fèi)器

今天,在本教程中,我們將使用 Arduino 制作數(shù)字出租車(chē)計(jì)費(fèi)器的原型。該項(xiàng)目計(jì)算出租車(chē)車(chē)輪行駛的速度和距離,并在16x2 LCD 顯示屏上連續(xù)顯示。當(dāng)我們按下按鈕時(shí),它會(huì)根據(jù)行駛的距離生成票價(jià)金額。
2022-09-20 17:35:492597

出租車(chē)計(jì)費(fèi)系統(tǒng)

誰(shuí)有出租車(chē)計(jì)費(fèi)系統(tǒng)或著套件呀!急需呀!
2013-10-22 17:39:07

出租車(chē)計(jì)費(fèi)系統(tǒng)畢業(yè)設(shè)計(jì)求高人指點(diǎn)

鄙人畢業(yè)設(shè)計(jì)選的是基于FPGA的出租車(chē)計(jì)費(fèi)系統(tǒng)的設(shè)計(jì),但是現(xiàn)在遇到了些問(wèn)題,時(shí)間又比較緊迫,目前還沒(méi)有完成。如各位大神有相關(guān)方面的資料或信息,能否支援下!不勝感激,郵箱372406340@qq.com.謝謝大神,救人命勝造七級(jí)浮屠??!
2014-05-11 23:18:29

出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)

出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì),才有外部時(shí)鐘方式。
2014-02-28 10:13:53

出租車(chē)有無(wú)客的顯示屏

出租車(chē)有無(wú)客的顯示屏(包含控件)
2015-09-02 10:44:49

出租車(chē)行駛里程計(jì)數(shù)

proteus仿真單片機(jī)實(shí)驗(yàn)實(shí)訓(xùn)仿真文件 出租車(chē)行駛里程計(jì)數(shù)
2016-10-22 10:59:33

出租車(chē)計(jì)價(jià)系統(tǒng)設(shè)計(jì)

們帶來(lái)了出行的享受。但是總存在買(mǎi)賣(mài)糾紛困擾著行業(yè)的發(fā)展。然而解決這矛盾的最好方法就是改良出租車(chē)的計(jì)價(jià),用更加精良的計(jì)價(jià)來(lái)為乘客提供更加方便快捷的服務(wù)?;趩纹瑱C(jī)系統(tǒng)設(shè)計(jì)的出租車(chē)計(jì)價(jià)是以單片機(jī)作為
2012-05-21 13:26:10

出租車(chē)計(jì)價(jià)設(shè)計(jì)的原理圖和相關(guān)文檔

出租車(chē)計(jì)價(jià)的文檔,挺有用的
2016-07-12 22:58:19

C++畢業(yè)設(shè)計(jì)出租車(chē)計(jì)價(jià)

C++畢業(yè)設(shè)計(jì)出租車(chē)計(jì)價(jià).doc
2017-08-05 22:04:54

【FPGA設(shè)計(jì)實(shí)例】出租車(chē)計(jì)價(jià)VHDL程序

出租車(chē)計(jì)價(jià)VHDL程序--文件名:taxi.hd --功能:出租車(chē)計(jì)價(jià)利用FPGA輕松實(shí)現(xiàn)出租車(chē)計(jì)價(jià)功能 程序仿真圖注:1. 仿真圖中秒跟分的關(guān)系為3進(jìn)制,即w為2時(shí)就歸0; 2. 出租車(chē)
2012-03-05 14:51:36

書(shū)求個(gè)基于multisim的出租車(chē)計(jì)費(fèi)器的電路圖!

書(shū)求個(gè)基于multisim的出租車(chē)計(jì)費(fèi)器的電路圖!
2016-12-29 16:58:15

利用51單片機(jī)設(shè)計(jì)并實(shí)現(xiàn)一種出租車(chē)計(jì)價(jià)

利用51單片機(jī),設(shè)計(jì)實(shí)現(xiàn)市面上的出租車(chē)計(jì)價(jià)的基本功能,能區(qū)分白天和黑夜,自動(dòng)調(diào)整價(jià)格;在液晶上顯示界面和計(jì)價(jià)信息等;價(jià)格存儲(chǔ)在外部EEPROM中,防止修改;其軟件系統(tǒng)的主要模塊包含里程計(jì)量、費(fèi)用計(jì)算、時(shí)間判斷、等待費(fèi)用等...
2022-01-13 06:03:28

單片機(jī)出租車(chē)計(jì)費(fèi)器實(shí)驗(yàn)步驟

單片機(jī)出租車(chē)計(jì)費(fèi)器、實(shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)內(nèi)容三、實(shí)驗(yàn)步驟四、C代碼如下五、實(shí)驗(yàn)結(jié)果六、實(shí)驗(yàn)體會(huì)、實(shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)內(nèi)容三、實(shí)驗(yàn)步驟四、C代碼如下五、實(shí)驗(yàn)結(jié)果六、實(shí)驗(yàn)體會(huì)...
2021-12-06 06:40:34

各位大神

`有沒(méi)有做過(guò)出租車(chē)計(jì)費(fèi)器的大神?求發(fā)份,急用`
2016-01-17 10:44:47

基于8086和Proteus仿真的出租車(chē)計(jì)價(jià)設(shè)計(jì)

`有沒(méi)有基于8086(匯編語(yǔ)言)和Proteus的出租車(chē)計(jì)價(jià)的設(shè)計(jì)資料啊`
2021-01-03 14:24:57

基于FPGA出租車(chē)計(jì)費(fèi)器設(shè)計(jì)報(bào)告(verilog)

基于FPGA出租車(chē)計(jì)費(fèi)器設(shè)計(jì)報(bào)告(verilog)
2013-10-11 18:49:11

基于FPGA出租車(chē)計(jì)價(jià)系統(tǒng)的設(shè)計(jì)實(shí)現(xiàn)的技術(shù)框架是什么

我想知道基于FPGA出租車(chē)計(jì)價(jià)系統(tǒng)實(shí)現(xiàn)的技術(shù)框架是什么?
2016-04-26 10:36:46

基于FPGA的出租車(chē)計(jì)費(fèi)器設(shè)計(jì)

基于FPGA的出租車(chē)計(jì)費(fèi)器設(shè)計(jì),自己做的,仿真不是很好,但作為參考不錯(cuò)。。。
2013-06-02 13:55:59

基于Multisim的出租車(chē)計(jì)價(jià)仿真

題目要求:1)設(shè)計(jì)個(gè)簡(jiǎn)易出租車(chē)計(jì)價(jià),按出租車(chē)行駛的里程數(shù)進(jìn)行計(jì)費(fèi)。2)具有里程顯示(2 位)、計(jì)費(fèi)顯示(3 位,帶 1 位小數(shù))、里程單價(jià)顯示(2 位,帶 1 位小數(shù))等功能。3)起步價(jià)按 7
2020-01-15 19:10:31

基于Proteus的單片機(jī)出租車(chē)計(jì)價(jià)的設(shè)計(jì)

本文以出租車(chē)模擬計(jì)價(jià)設(shè)計(jì)的實(shí)例,詳細(xì)介紹了Proteus的特點(diǎn)、使用方法以及Proteus在單片機(jī)系統(tǒng)硬、軟件開(kāi)發(fā)與仿真的應(yīng)用,它能有效提高工作效率,對(duì)于單片機(jī)應(yīng)用系統(tǒng)、電子電路的開(kāi)發(fā)和教學(xué)等都有較大的實(shí)用價(jià)值。整個(gè)設(shè)計(jì)過(guò)程表明了該軟件在實(shí)際系統(tǒng)開(kāi)發(fā)中的有效性和實(shí)用性。
2011-03-03 17:40:07

基于VHDL的出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)

基于VHDL語(yǔ)言的出租車(chē)計(jì)費(fèi)的設(shè)計(jì)
2015-04-26 17:52:01

基于multisim設(shè)計(jì)的出租車(chē)計(jì)價(jià)

本帖最后由 qion1994 于 2015-11-29 17:00 編輯 有沒(méi)有人設(shè)計(jì)過(guò)基于multisim的出租車(chē)計(jì)價(jià),帶延時(shí)計(jì)價(jià)的,如果有的話能否發(fā)我份?我這里有個(gè)電路圖,可是仿真的時(shí)候,價(jià)格那里總是有問(wèn)題,還有延時(shí)那塊也沒(méi)有反應(yīng),有沒(méi)有大神可以幫我看看。謝謝了~
2015-11-29 16:42:34

基于單片機(jī)的出租車(chē)計(jì)價(jià)的設(shè)計(jì)相關(guān)資料下載

段時(shí)間,做了個(gè)關(guān)于基于單片機(jī)的出租車(chē)計(jì)價(jià)的設(shè)計(jì)資料下載地址:
2022-02-23 06:52:14

基于單片機(jī)的多功能出租車(chē)計(jì)價(jià)相關(guān)資料推薦

文末下載完整資料1.1出租車(chē)計(jì)價(jià)概述??計(jì)價(jià)顯示的營(yíng)運(yùn)金額是營(yíng)運(yùn)里程與價(jià)格的函數(shù)(等候時(shí)間般折算成定比例的里程來(lái)計(jì)算)。出租車(chē)計(jì)價(jià)通過(guò)傳感與行駛車(chē)輛連接。出租汽車(chē)的實(shí)際里程通過(guò)傳感
2021-11-09 06:16:31

多功能出租車(chē)計(jì)費(fèi)

多功能出租車(chē)計(jì)費(fèi)
2013-10-31 10:01:09

多功能出租車(chē)計(jì)費(fèi)器的相關(guān)資料推薦

單片機(jī)出租車(chē)計(jì)費(fèi)器、實(shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)內(nèi)容三、實(shí)驗(yàn)步驟四、C代碼如下五、實(shí)驗(yàn)結(jié)果六、實(shí)驗(yàn)體會(huì)、實(shí)驗(yàn)?zāi)康奈覈?guó)城市發(fā)展日新月異,交通工具也越來(lái)越多樣化。我國(guó)出租汽車(chē)行業(yè)因而迅猛發(fā)展,出租汽車(chē)已經(jīng)成為
2021-11-25 06:20:14

多功能出租車(chē)計(jì)價(jià)

   本出租車(chē)計(jì)價(jià)功能包括數(shù)據(jù)的復(fù)位、白天/晚上轉(zhuǎn)換、數(shù)據(jù)輸出、計(jì)時(shí)計(jì)價(jià)、單價(jià)輸出及調(diào)整、路程輸出、語(yǔ)音播報(bào)數(shù)據(jù)信息、實(shí)現(xiàn)在系統(tǒng)掉電的時(shí)候保存單價(jià)和系統(tǒng)時(shí)間等
2013-10-24 19:08:44

多功能出租車(chē)計(jì)價(jià)設(shè)計(jì)資料

多功能出租車(chē)計(jì)價(jià)設(shè)計(jì)資料
2012-08-20 11:20:40

多功能出租車(chē)計(jì)價(jià)設(shè)計(jì)資料

多功能出租車(chē)計(jì)價(jià)設(shè)計(jì)資料
2013-04-17 20:44:31

如何利用FPGA設(shè)計(jì)出租車(chē)計(jì)價(jià)系統(tǒng)?

設(shè)計(jì)電子系統(tǒng),具有設(shè)計(jì)周期短、易于修改等明顯特點(diǎn),特別適合于進(jìn)行科學(xué)實(shí)驗(yàn)、樣機(jī)研制和電子產(chǎn)品的小批量生產(chǎn)。針對(duì)FPGA器件,用EDA工具軟件Max+P1usⅡ,設(shè)計(jì)了一種出租車(chē)的計(jì)價(jià),它可以以十進(jìn)制數(shù)的形式,直觀地顯示出租車(chē)行駛的里程和乘客應(yīng)付的費(fèi)用,具有定的實(shí)際應(yīng)用價(jià)值。
2019-08-15 06:31:56

如何實(shí)現(xiàn)出租車(chē)里程計(jì)時(shí)系統(tǒng)設(shè)計(jì)?

如何實(shí)現(xiàn)出租車(chē)里程計(jì)時(shí)系統(tǒng)設(shè)計(jì)?
2021-10-27 07:17:30

如何用51單片機(jī)設(shè)計(jì)個(gè)出租車(chē)計(jì)價(jià)

最近接到個(gè)項(xiàng)目,是位出租車(chē)計(jì)價(jià)設(shè)計(jì)套軟硬件系統(tǒng)我用51單片機(jī)設(shè)計(jì)了個(gè)方案,效果還不錯(cuò),歡迎大家共同留言學(xué)習(xí),討論廢話不多說(shuō),先上實(shí)物圖AD設(shè)計(jì)原理圖設(shè)計(jì)資料出租車(chē)計(jì)價(jià)元件清單元件名稱(chēng)
2021-12-13 06:08:15

如何用EDA工具軟件Max+***Ⅱ設(shè)計(jì)出租車(chē)的計(jì)價(jià)

本文針對(duì)FPGA器件,用EDA工具軟件Max+***Ⅱ,設(shè)計(jì)了一種出租車(chē)的計(jì)價(jià),它可以以十進(jìn)制數(shù)的形式,直觀地顯示出租車(chē)行駛的里程和乘客應(yīng)付的費(fèi)用,具有定的實(shí)際應(yīng)用價(jià)值。
2021-05-08 08:07:30

如何用Quartur II 軟件設(shè)計(jì)出租車(chē)計(jì)價(jià)

求告訴如何用Quartur II 軟件設(shè)計(jì)出租車(chē)計(jì)價(jià)
2016-05-11 00:29:31

如何設(shè)計(jì)個(gè)基于51單片機(jī)的模擬出租車(chē)計(jì)價(jià)

在合肥工業(yè)大學(xué)就讀期間本人在專(zhuān)業(yè)課程任務(wù)中設(shè)計(jì)編寫(xiě)了個(gè)基于51單片機(jī)的模擬出租車(chē)計(jì)價(jià)
2021-11-10 08:52:54

怎么實(shí)現(xiàn)基于51單片機(jī)的出租車(chē)計(jì)價(jià)設(shè)計(jì)?

怎么實(shí)現(xiàn)基于51單片機(jī)的出租車(chē)計(jì)價(jià)設(shè)計(jì)?
2021-10-11 06:29:27

怎樣去設(shè)計(jì)一種51單片機(jī)的出租車(chē)智能計(jì)價(jià)系統(tǒng)

出租車(chē)計(jì)價(jià)設(shè)計(jì)是由哪些模塊組成的?怎樣去設(shè)計(jì)一種51單片機(jī)的出租車(chē)智能計(jì)價(jià)系統(tǒng)?
2022-02-28 08:43:06

怎樣去設(shè)計(jì)一種基于單片機(jī)的出租車(chē)計(jì)價(jià)

本設(shè)計(jì)是基于單片機(jī)的出租車(chē)計(jì)價(jià),主要實(shí)現(xiàn)以下功能:時(shí)間顯示,且可設(shè)置時(shí)間收費(fèi)標(biāo)準(zhǔn)顯示功能以及乘車(chē)速度、公里數(shù)等信息顯示功能語(yǔ)音提示乘客“歡迎光臨”、“歡迎下次乘坐”以及播報(bào)本次乘車(chē)信息功能可存十次
2021-11-26 08:29:02

無(wú)助呀幫小弟把(數(shù)電課設(shè))出租車(chē)計(jì)價(jià)的設(shè)計(jì)與實(shí)現(xiàn)

1 技術(shù)要求:1)設(shè)計(jì)個(gè)出租車(chē)計(jì)價(jià)。要求用4位數(shù)碼管顯示行駛里程、停車(chē)時(shí)間和計(jì)費(fèi)值,采用按鍵切換顯示物理量。2)出租車(chē)的起步價(jià)為3元,當(dāng)里程行駛到1km后,費(fèi)用為4元;當(dāng)里程行駛到1.5km后
2013-07-01 01:42:38

無(wú)助呀,幫小弟把(數(shù)電課設(shè))出租車(chē)計(jì)價(jià)的設(shè)計(jì)與...

1、技術(shù)要求:1)設(shè)計(jì)個(gè)出租車(chē)計(jì)價(jià)。要求用4位數(shù)碼管顯示行駛里程、停車(chē)時(shí)間和計(jì)費(fèi)值,采用按鍵切換顯示物理量。2)出租車(chē)的起步價(jià)為3元,當(dāng)里程行駛到1km后,費(fèi)用為4元;當(dāng)里程行駛到1.5km后
2013-07-01 01:38:11

個(gè)單片機(jī)設(shè)計(jì)的出租車(chē)計(jì)價(jià)設(shè)計(jì)的完整電路圖

個(gè)單片機(jī)設(shè)計(jì)的出租車(chē)計(jì)價(jià)設(shè)計(jì)的完整電路圖,雖然才3積分,但這已經(jīng)是我全部身家了,拜托
2015-09-20 19:57:25

個(gè)基于proteus的出租車(chē)計(jì)費(fèi)系統(tǒng)的仿真電路及源代碼。

個(gè)基于proteus的出租車(chē)計(jì)費(fèi)系統(tǒng)的仿真電路及源代碼。(本科畢業(yè)設(shè)計(jì)求參考),謝謝各位大神了。功能完善點(diǎn)的最好啦!
2017-04-12 21:59:19

個(gè)數(shù)電的出租車(chē)計(jì)費(fèi)器的multisim源文件

個(gè)數(shù)電的出租車(chē)計(jì)費(fèi)器的multisim源文件,謝謝大家了,我急著用!在線等,拜托大神了!
2015-06-13 21:28:02

求助:基于AVR的出租車(chē)計(jì)費(fèi)系統(tǒng) 的電路圖

、主要任務(wù)與目標(biāo):本文主要是設(shè)計(jì)個(gè)基于單片機(jī)的出租車(chē)自動(dòng)計(jì)費(fèi)系統(tǒng)。該系統(tǒng)有復(fù)位電路對(duì)單片機(jī)進(jìn)行初始化操作,通過(guò)復(fù)位電路還可以重新開(kāi)始。顯示起步價(jià),里程收費(fèi),等待時(shí)間收費(fèi),可通過(guò)按鍵調(diào)整價(jià)格;該系
2012-02-23 17:02:51

求助:基于單片機(jī)的出租車(chē)計(jì)價(jià)的設(shè)計(jì)材料(完整的更...

求助:急需基于單片機(jī)的出租車(chē)計(jì)價(jià)的設(shè)計(jì)材料(完整的更好)
2013-04-10 10:05:10

求數(shù)字電路出租車(chē)計(jì)價(jià)multisim文件,電路圖也行

求數(shù)字電路出租車(chē)計(jì)價(jià)multisim文件,電路圖也行
2014-12-29 17:12:20

電子設(shè)計(jì)大賽-智能出租車(chē)

本帖最后由 eehome 于 2013-1-5 09:49 編輯 電子設(shè)計(jì)大賽-智能出租車(chē)
2012-08-16 15:46:18

請(qǐng)幫我看看出租車(chē)計(jì)價(jià)畢業(yè)設(shè)計(jì)

請(qǐng)幫我看看出租車(chē)計(jì)價(jià)畢業(yè)設(shè)計(jì),QQ:2451489850
2013-05-10 21:31:13

請(qǐng)教大神如何去設(shè)計(jì)出租車(chē)計(jì)費(fèi)器系統(tǒng)?

本文介紹了一種以Altera公司可編程邏輯器件EP1K30TC144-3為控制核心,附加定外圍電路組成的出租車(chē)計(jì)費(fèi)器系統(tǒng)。
2021-04-30 06:06:05

課程設(shè)計(jì)--簡(jiǎn)單出租車(chē)計(jì)費(fèi)器

`設(shè)計(jì)任務(wù)1.1設(shè)計(jì)目的和意義1.1.1目的 1. 能構(gòu)實(shí)現(xiàn)計(jì)程功能2. 實(shí)現(xiàn)計(jì)費(fèi)功能,計(jì)費(fèi)標(biāo)準(zhǔn)為:按行駛里程收費(fèi),起步費(fèi)為6.0元,并在車(chē)行3公里后再按2.0元/公里,最高計(jì)費(fèi)為99.9元,車(chē)停止不計(jì)費(fèi),能將車(chē)費(fèi)顯示出來(lái)。 `
2011-05-28 11:20:54

基于UPD78F0034單片機(jī)的出租車(chē)計(jì)費(fèi)器的設(shè)計(jì)與實(shí)現(xiàn)

摘要:介紹了基于UPD78Foo34單片機(jī)和模塊式結(jié)構(gòu)的出租車(chē)計(jì)費(fèi)器的硬件和軟件設(shè)計(jì)方法,討論了UPD78F0034單片機(jī)的主要特點(diǎn);介紹了該單片機(jī)和PC機(jī)串行通信的硬件連接方法;同時(shí)給出
2010-07-23 21:48:4077

基于μPD78F0034單片機(jī)的出租車(chē)計(jì)費(fèi)器的設(shè)計(jì)與實(shí)現(xiàn)

摘要:介紹了基于μPD78F0034單片機(jī)和模塊式結(jié)構(gòu)的出租車(chē)計(jì)費(fèi)器的硬件和軟件設(shè)計(jì)方法,討論了μPD78F0034單片機(jī)的主要特點(diǎn);介紹了該單片機(jī)和PC機(jī)串行通信的硬件連
2006-03-11 11:46:321218

出租車(chē)計(jì)價(jià)器VHDL程序

程序設(shè)計(jì)與仿真。1. 出租車(chē)計(jì)價(jià)器VHDL程序--文件名:taxi.hd --功能:出租車(chē)計(jì)價(jià)器 --最后修改日期:2004.4.9
2008-06-27 10:51:311798

基于CPLD/FPGA的出租車(chē)計(jì)費(fèi)器

摘要: 介紹了出租車(chē)計(jì)費(fèi)器系統(tǒng)的組成及工作原理,簡(jiǎn)述了在EDA平臺(tái)上用單片CPLD器件構(gòu)成該數(shù)字系統(tǒng)的設(shè)計(jì)思想和實(shí)現(xiàn)過(guò)程。論述了車(chē)型調(diào)整模塊、計(jì)程模塊、計(jì)費(fèi)模塊
2009-06-20 15:24:581164

用VHDL語(yǔ)言開(kāi)發(fā)的出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)

本文設(shè)計(jì)了一種基于FPGA的出租車(chē)計(jì)費(fèi)系統(tǒng),可模擬汽車(chē)行駛、暫停等待,停止等過(guò)程,并可同時(shí)顯示金額、乘車(chē)總路程。
2011-07-20 14:56:302629

基于FPGA的出租車(chē)計(jì)費(fèi)系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

提出了在QuartusII 9.0 軟件平臺(tái)上,基于FPGA的出租車(chē)計(jì)費(fèi)器設(shè)計(jì)方案。通過(guò)VHDL描述出租車(chē)計(jì)費(fèi)系統(tǒng)的操作流程及控制方案,實(shí)現(xiàn)模擬汽車(chē)的啟動(dòng)、停止、計(jì)費(fèi)、暫停、預(yù)置等功能,并用
2011-12-28 10:35:03119

城市出租車(chē)的投放控制模型研究

本論文根據(jù)城市出租車(chē)運(yùn)營(yíng)的特點(diǎn),在出租車(chē)監(jiān)控平臺(tái)數(shù)據(jù)的基礎(chǔ)上,研究出租車(chē)投放數(shù)量調(diào)控的措施。出租車(chē)空駛率這一重要參數(shù),可以根據(jù)采集的出租車(chē)運(yùn)營(yíng)信息計(jì)算得出,以此來(lái)
2013-06-09 16:18:090

基于單片機(jī)的出租車(chē)計(jì)費(fèi)器

基于單片機(jī)的出租車(chē)計(jì)費(fèi)器,用C來(lái)編程的。。
2016-01-14 17:10:2016

出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)

設(shè)計(jì)一個(gè)簡(jiǎn)單的出租車(chē)計(jì)費(fèi)器, 要求是起步價(jià) 10 元, 準(zhǔn)行 3 公里, 以后 1 元/公里。 可以通過(guò)旋鈕電機(jī)模塊的電位器,即可達(dá)到控制電機(jī)轉(zhuǎn)速的目的。另外用按鍵模塊的 S1 或(其他按鍵)來(lái)作
2016-03-18 14:06:0148

出租車(chē)計(jì)時(shí)器

出租車(chē)計(jì)時(shí)計(jì)費(fèi)器系統(tǒng)仿真設(shè)計(jì)和報(bào)告
2016-12-15 22:44:303

畢業(yè)設(shè)計(jì)出租車(chē)計(jì)價(jià)器

畢業(yè)設(shè)計(jì)出租車(chē)計(jì)價(jià)器
2016-12-17 21:49:1941

單片機(jī)課程設(shè)計(jì)報(bào)告-簡(jiǎn)易出租車(chē)計(jì)費(fèi)器

簡(jiǎn)易出租車(chē)計(jì)費(fèi)器
2017-04-13 14:29:3545

一種新型的出租車(chē)調(diào)度系統(tǒng)

本文設(shè)計(jì)和實(shí)現(xiàn)了一種新型的出租車(chē)調(diào)度系統(tǒng),該系統(tǒng)通過(guò)改進(jìn)當(dāng)前調(diào)度系統(tǒng)的叫車(chē)方式,并提出基于網(wǎng)格的出租車(chē)調(diào)度算法,該算法結(jié)合通信平臺(tái)上傳的出租車(chē)GPS位置信息和GIS系統(tǒng)網(wǎng)格信息把出租車(chē)分組,實(shí)現(xiàn)小組內(nèi)及小組間出租車(chē)高效協(xié)作和調(diào)度,很大程度上提高了出租車(chē)的調(diào)度效率。 終端硬件結(jié)構(gòu)圖
2017-09-06 10:23:520

基于PLD的出租車(chē)計(jì)價(jià)器系統(tǒng)設(shè)計(jì)

該系統(tǒng)利用VHDL語(yǔ)言、PLD設(shè)計(jì)出租車(chē)計(jì)費(fèi)系統(tǒng),以MAX+PLUSⅡ軟件作為開(kāi)發(fā)平臺(tái),設(shè)計(jì)了出租車(chē)計(jì)費(fèi)器系統(tǒng)程序并進(jìn)行了程序仿真。使其實(shí)現(xiàn)計(jì)費(fèi)以及預(yù)置和模擬汽車(chē)啟動(dòng)、停止、暫停等功能,并動(dòng)態(tài)掃描顯示車(chē)費(fèi)數(shù)目。
2017-09-21 11:12:459

基于GPS的新型出租車(chē)調(diào)度系統(tǒng)設(shè)計(jì)方案

在大多數(shù)國(guó)家,出租車(chē)都是一種十分普遍和便捷的交通工具。多數(shù)發(fā)達(dá)國(guó)家建設(shè)了完善的出租車(chē)調(diào)度系統(tǒng),實(shí)現(xiàn)了出租車(chē)高效運(yùn)營(yíng),如美國(guó),電話預(yù)約和站點(diǎn)候客的出租車(chē)運(yùn)營(yíng)方式占98%,路拋式僅占2%;在倫敦、巴黎
2017-12-01 11:51:240

一種基于EDA技術(shù)的出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)

本文主要介紹了一種基于EDA技術(shù)的出租車(chē)計(jì)費(fèi)系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn),采用EDA技術(shù)的層次化設(shè)計(jì)方法設(shè)計(jì)出租車(chē)計(jì)費(fèi)器,用VHDL編寫(xiě)各個(gè)功能模塊,實(shí)現(xiàn)底層設(shè)計(jì);用原理圖輸入方式描述各模塊間的關(guān)系,實(shí)現(xiàn)頂層設(shè)計(jì)。
2017-12-25 09:20:544415

出租車(chē)載客點(diǎn)推薦算法

針對(duì)現(xiàn)有出租車(chē)載客點(diǎn)推薦算法忽略出租車(chē)所處上下文的情況,提出了一種基于時(shí)空上下文協(xié)同過(guò)濾的出租車(chē)載客點(diǎn)推薦算法。該算法將載客點(diǎn)信息映射到空間網(wǎng)格,通過(guò)在出租車(chē)司機(jī)駕駛行為相似度的計(jì)算中引入時(shí)間衰減
2018-01-09 19:13:440

大神課堂:采用EDA技術(shù)設(shè)計(jì)一個(gè)出租車(chē)計(jì)費(fèi)器的完整解決方案

。 1、設(shè)計(jì)要求 白天起步價(jià)為3千米范圍內(nèi)5元,晚上起步價(jià)為3千米范圍內(nèi)6元。白天車(chē)行3千米后按1.8元/千米計(jì)費(fèi),晚上車(chē)行3千米后按2.2元/千米計(jì)費(fèi)。當(dāng)按下復(fù)位鍵時(shí),出租車(chē)計(jì)費(fèi)器回復(fù)為初始狀態(tài),若為白天則顯示費(fèi)用5元,晚上則顯示費(fèi)用6元。
2018-03-23 14:53:005209

以EP1K30TC144-3為控制核心實(shí)現(xiàn)CPLD出租車(chē)計(jì)費(fèi)器系統(tǒng)的設(shè)計(jì)

時(shí)在系統(tǒng)中修改其邏輯功能。本文介紹了一種以Altera公司可編程邏輯器件EP1K30TC144-3為控制核心,附加一定外圍電路組成的出租車(chē)計(jì)費(fèi)器系統(tǒng)。
2018-11-14 10:03:003285

盤(pán)點(diǎn)出租車(chē)行業(yè)電動(dòng)化的機(jī)遇和挑戰(zhàn)

從純電動(dòng)車(chē)的生產(chǎn)力屬性完整分析了出租車(chē)行業(yè)電動(dòng)化的機(jī)遇和挑戰(zhàn),比較分析了如何通過(guò)快充和快換來(lái)解決出租車(chē)的續(xù)航問(wèn)題,并就此針對(duì)城市出租車(chē)如何推廣電動(dòng)車(chē)給出了建議方案。
2018-10-04 11:49:007105

如何使用VHDL設(shè)計(jì)一個(gè)簡(jiǎn)易出租車(chē)計(jì)費(fèi)器的資料合集免費(fèi)下載

本文介紹了一種采用單片F(xiàn)PGA芯片進(jìn)行出租車(chē)計(jì)費(fèi)器的設(shè)計(jì)方法,主要闡述如何使用EDA器件取代傳統(tǒng)的電子設(shè)計(jì)方法,利用FPGA的可編程性,簡(jiǎn)潔而又多變的設(shè)計(jì)方法,縮短了研發(fā)周期,同時(shí)使簡(jiǎn)易出租車(chē)計(jì)費(fèi)器
2021-09-01 17:29:5443

使用單片機(jī)設(shè)計(jì)與實(shí)現(xiàn)出租車(chē)計(jì)費(fèi)系統(tǒng)的畢業(yè)設(shè)計(jì)論文免費(fèi)下載

出租車(chē)在現(xiàn)代城市交通系統(tǒng)中起著舉足輕重的作用,為城市人民的生活出行帶來(lái)了極大的方便,出租車(chē)計(jì)費(fèi)系統(tǒng)的穩(wěn)定、準(zhǔn)確以及可靠直接關(guān)系到人民群眾的切身利益。因此設(shè)計(jì)一套計(jì)費(fèi)準(zhǔn)確可靠的計(jì)費(fèi)系統(tǒng)顯得非常重要。
2019-11-18 08:00:0036

使用單片機(jī)設(shè)計(jì)實(shí)現(xiàn)出租車(chē)計(jì)費(fèi)系統(tǒng)的畢業(yè)論文免費(fèi)下載

出租車(chē)在現(xiàn)代城市交通系統(tǒng)中起著舉足輕重的作用,為城市人民的生活出行帶來(lái)了極大的方便,出租車(chē)計(jì)費(fèi)系統(tǒng)的穩(wěn)定、準(zhǔn)確以及可靠直接關(guān)系到人民群眾的切身利益。因此設(shè)計(jì)一套計(jì)費(fèi)準(zhǔn)確可靠的計(jì)費(fèi)系統(tǒng)顯得非常重要。
2019-12-23 08:00:008

如何使用CPLD實(shí)現(xiàn)出租車(chē)計(jì)費(fèi)器的設(shè)計(jì)

本文借助QuartusⅡ9.0軟件,基于大規(guī)??删幊踢壿嬈骷﨔PGA,利用Verilog HDL 語(yǔ)言,進(jìn)行出租車(chē)計(jì)費(fèi)器設(shè)計(jì)。該出租車(chē)計(jì)費(fèi)系統(tǒng)包括計(jì)數(shù)器分頻模塊、數(shù)碼管分頻模塊、計(jì)程模塊、計(jì)時(shí)模塊
2020-08-21 16:16:009

出租車(chē)計(jì)費(fèi)器的PCB原理圖免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是出租車(chē)計(jì)費(fèi)器的PCB原理圖免費(fèi)下載。
2020-10-10 16:08:000

出租車(chē)計(jì)費(fèi)器Protel工程電路原理圖及PCB文件

本文檔的主要內(nèi)容詳細(xì)介紹的是出租車(chē)計(jì)費(fèi)器Protel工程電路原理圖及PCB文件免費(fèi)下載。
2021-02-25 11:06:480

實(shí)現(xiàn)一個(gè)出租車(chē)自動(dòng)計(jì)費(fèi)器項(xiàng)目的設(shè)計(jì)工程文件免費(fèi)下載

項(xiàng)目版本:taxi V1.0,本項(xiàng)目實(shí)現(xiàn)一個(gè)出租車(chē)自動(dòng)計(jì)費(fèi)器。計(jì)費(fèi)包括起步價(jià)、行車(chē)?yán)锍?b class="flag-6" style="color: red">計(jì)費(fèi)、等待時(shí)間計(jì)費(fèi)三部分,用四位數(shù)碼管顯示總金額,最大值為999.9元。起步價(jià)為5.0元,3公里之內(nèi)按起步價(jià)計(jì)費(fèi)
2021-03-11 17:47:3619

利用Verilog_HDL語(yǔ)言設(shè)計(jì)出租車(chē)計(jì)費(fèi)器

利用Verilog_HDL語(yǔ)言設(shè)計(jì)出租車(chē)計(jì)費(fèi)器案例。
2021-04-09 16:22:1661

單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)技術(shù)——多功能出租車(chē)計(jì)費(fèi)器

單片機(jī)出租車(chē)計(jì)費(fèi)器一、實(shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)內(nèi)容三、實(shí)驗(yàn)步驟四、C代碼如下五、實(shí)驗(yàn)結(jié)果六、實(shí)驗(yàn)體會(huì)一、實(shí)驗(yàn)?zāi)康奈覈?guó)城市發(fā)展日新月異,交通工具也越來(lái)越多樣化。我國(guó)出租汽車(chē)行業(yè)因而迅猛發(fā)展,出租汽車(chē)已經(jīng)成為
2021-11-16 17:21:067

單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)技術(shù)——單片機(jī)出租車(chē)計(jì)費(fèi)器

單片機(jī)出租車(chē)計(jì)費(fèi)器一、實(shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)內(nèi)容三、實(shí)驗(yàn)步驟四、C代碼如下五、實(shí)驗(yàn)結(jié)果六、實(shí)驗(yàn)體會(huì)一、實(shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)內(nèi)容三、實(shí)驗(yàn)步驟四、C代碼如下五、實(shí)驗(yàn)結(jié)果六、實(shí)驗(yàn)體會(huì)...
2021-11-23 18:21:1320

EDA程序設(shè)計(jì)之出租車(chē)計(jì)費(fèi)器

1.1 設(shè)計(jì)要求 1.1.1 設(shè)計(jì)任務(wù) 設(shè)計(jì)并制作一臺(tái)出租車(chē)計(jì)費(fèi)器。1.1.2 性能指標(biāo)要求① 用EDA實(shí)訓(xùn)儀的I/O設(shè)備和FPGA實(shí)現(xiàn)出租車(chē)計(jì)費(fèi)器的設(shè)計(jì)。② 出租車(chē)起步開(kāi)始計(jì)程和計(jì)費(fèi),計(jì)程系統(tǒng)
2023-08-22 10:19:412

EDA程序設(shè)計(jì)—出租車(chē)計(jì)費(fèi)器

用EDA實(shí)訓(xùn)儀的I/O設(shè)備和FPGA實(shí)現(xiàn)出租車(chē)計(jì)費(fèi)器的設(shè)計(jì)。
2023-08-25 16:55:271168

已全部加載完成