電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>基于EDA的數(shù)字電路設(shè)計(jì)之計(jì)數(shù)電路控制信號(hào)

基于EDA的數(shù)字電路設(shè)計(jì)之計(jì)數(shù)電路控制信號(hào)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

EDA技術(shù)進(jìn)行數(shù)字電路設(shè)計(jì)

本文介紹了EDA技術(shù)主要特點(diǎn)和功能,并對(duì)將EDA技術(shù)引入到數(shù)字電路設(shè)計(jì)工作方案進(jìn)行了探討。##EDA技術(shù)在數(shù)字系統(tǒng)中應(yīng)用以基于AlteraEPM7128SLC84-15芯片和MAX PlusII 10.0軟件平臺(tái)數(shù)字鐘設(shè)計(jì)為例,討論EDA技術(shù)在數(shù)字系統(tǒng)中具體應(yīng)用。
2014-01-24 14:38:143494

硬件電路設(shè)計(jì)之晶體與晶振電路設(shè)計(jì)

  晶體與晶振在電路設(shè)計(jì)中的應(yīng)用十分廣泛,對(duì)于數(shù)字電路,一個(gè)穩(wěn)定的時(shí)鐘信號(hào),是系統(tǒng)穩(wěn)定的前提。
2023-11-22 10:44:48525

數(shù)字電路和模擬電路的工作各有何特點(diǎn)?

數(shù)字電路和模擬電路電路設(shè)計(jì)控制中兩種主要的電路類型。雖然它們都是電路的基本組成部分,但它們?cè)诠ぷ髟?、特點(diǎn)和應(yīng)用方面有很大的區(qū)別。 首先,數(shù)字電路是一種處理數(shù)字信號(hào)電路。它的最基本元件是邏輯
2023-12-08 10:06:46692

數(shù)字電路--百位計(jì)數(shù)器的電路設(shè)計(jì)

數(shù)字電路--百位計(jì)數(shù)器的電路設(shè)計(jì)
2016-11-25 15:16:29

數(shù)字電路-數(shù)字時(shí)鐘電路設(shè)計(jì)

數(shù)字電路-數(shù)字時(shí)鐘電路設(shè)計(jì) 希望大家喜歡。
2016-12-06 09:46:39

數(shù)字電路及其應(yīng)用

數(shù)字信號(hào),即斷續(xù)變化的物理量,如圖1所示。當(dāng)把圖1的開(kāi)關(guān)K快速通、斷時(shí),在電阻R上就產(chǎn)生一連串的脈沖(電壓),這就是數(shù)字信號(hào)。人們把用來(lái)傳輸、控制或變換數(shù)字信號(hào)的電子電路稱為數(shù)字電路。麥|斯|艾|姆|P
2013-09-05 11:12:39

數(shù)字電路和模擬電路有什么區(qū)別

什么是數(shù)字電路和模擬電路?數(shù)字電路和模擬電路有什么區(qū)別?
2021-03-11 07:21:36

數(shù)字電路比模擬電路有何優(yōu)勢(shì)

相關(guān)推薦導(dǎo)讀在與E課網(wǎng)學(xué)員們的學(xué)習(xí)交流過(guò)程中,E課網(wǎng)的講師們發(fā)現(xiàn)很多人對(duì)數(shù)字電路設(shè)計(jì)的基本理論概念缺乏了解,而且對(duì)實(shí)際工程項(xiàng)目的發(fā)燒友學(xué)院發(fā)表于 2016-12-09 00:00?4969次閱讀
2021-09-15 06:02:55

數(shù)字電路的應(yīng)用介紹

的變化量;后者涉及數(shù)字信號(hào),即斷續(xù)變化的物理量,如圖1所示。當(dāng)把圖1的開(kāi)關(guān)K快速通、斷時(shí),在電阻R上就產(chǎn)生一連串的脈沖(電壓),這就是數(shù)字信號(hào)。人們把用來(lái)傳輸、控制或變換數(shù)字信號(hào)的電子電路稱為數(shù)字電路
2018-08-28 15:36:27

數(shù)字電路設(shè)計(jì)與Verilog HDL

數(shù)字電路設(shè)計(jì)與Verilog HDL
2015-07-16 16:21:19

數(shù)字電路設(shè)計(jì)在嵌入式中的應(yīng)用

VGA 接口以及 10 顆 LED 燈和 6 個(gè)按鍵,可以滿足數(shù)字電路基本的實(shí)驗(yàn)需要。2 電路設(shè)計(jì)2.1 電源電路電源系統(tǒng)為整個(gè)系統(tǒng)提供能量,是系統(tǒng)的基礎(chǔ)。采用 LM1085 芯片提供 3.3 V 電壓
2020-09-04 17:04:34

數(shù)字電路設(shè)計(jì)電動(dòng)機(jī)控制信號(hào)

我想設(shè)計(jì)一個(gè)數(shù)字電路,但不清楚應(yīng)該怎么做仿真,題目如下:有A、B、C、D四臺(tái)電動(dòng)機(jī),A必須開(kāi)啟,其余三臺(tái)至少開(kāi)啟兩臺(tái),滿足條件則指示燈亮,為“1”,不滿足為0,電動(dòng)機(jī)的開(kāi)機(jī)信號(hào)通過(guò)某裝置送到各自
2020-06-16 12:09:55

數(shù)字電路設(shè)計(jì)的基本方法有哪些

數(shù)字電子技術(shù)基礎(chǔ)課程中,數(shù)字電路設(shè)計(jì)的數(shù)學(xué)基礎(chǔ)是布爾函數(shù),并利用卡諾圖進(jìn)行化簡(jiǎn)??ㄖZ圖只適用于輸入比較少的邏輯函數(shù)的化簡(jiǎn)。數(shù)字電路的設(shè)計(jì)方法是:組合電路設(shè)計(jì):提出問(wèn)題→確定邏輯關(guān)系→列真值表→邏輯
2019-02-27 11:55:00

FPGA CPLFPGA CPLD 數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

FPGA CPLFPGA CPLD 數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享摘要:在數(shù)字電路的設(shè)計(jì)中,時(shí)序設(shè)計(jì)是一個(gè)系統(tǒng)性能的主要標(biāo)志,在高層次設(shè)計(jì)方法中,對(duì)時(shí)序控制的抽象度也相應(yīng)
2012-08-11 10:17:18

FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

本帖最后由 xianer317 于 2014-6-21 19:34 編輯 FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享
2014-06-21 19:33:20

FPGA數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

數(shù)字電路的設(shè)計(jì)中,時(shí)序設(shè)計(jì)是一個(gè)系統(tǒng)性能的主要標(biāo)志,在高層次設(shè)計(jì)方法中,對(duì)時(shí)序控制的抽象度也相應(yīng)提高,因此在設(shè)計(jì)中較難把握,但在理解RTL電路時(shí)序模型的基礎(chǔ)上,采用合理的設(shè)計(jì)方法在設(shè)計(jì)復(fù)雜數(shù)字系統(tǒng)
2012-03-05 16:33:30

FPGACPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

FPGACPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享
2012-08-07 21:46:49

【分享經(jīng)驗(yàn)】關(guān)于數(shù)字電路設(shè)計(jì)

關(guān)于數(shù)字電路設(shè)計(jì)的一些經(jīng)驗(yàn)
2015-03-17 21:27:38

什么是數(shù)字電路

數(shù)據(jù)的數(shù)字電路。從整體上看,數(shù)字電路可以分為組合邏輯電路和時(shí)序邏輯電路兩大類。一、數(shù)字電路的發(fā)展與分類  從前面的介紹,大家已經(jīng)了解到數(shù)字電路是以二值數(shù)字邏輯為基礎(chǔ)的,其工作信號(hào)是離散的數(shù)字信號(hào)。電路
2009-04-06 23:45:00

什么是數(shù)字電路?

什么是數(shù)字電路?AND電路的工作方式反向輸出的NOT電路
2021-03-17 06:51:27

什么是數(shù)字電路?有什么分類?

數(shù)字邏輯電路分類數(shù)字電路的特點(diǎn)數(shù)字電路的應(yīng)用
2021-04-06 09:08:57

關(guān)于數(shù)字電路的基本概念和學(xué)習(xí)內(nèi)容

關(guān)于數(shù)字電路的基本概念和學(xué)習(xí)內(nèi)容初學(xué)硬件電子電路設(shè)計(jì)的你知道數(shù)字電路的基本概念嗎?知道數(shù)字電路都學(xué)什么內(nèi)容嗎?其實(shí),電子電路硬件設(shè)計(jì)電路主要分為模電和數(shù)電兩部分,模電主要是關(guān)于電路分析,而數(shù)電要學(xué)
2015-07-22 16:46:54

華為《高速數(shù)字電路設(shè)計(jì)教材》

本帖最后由 gk320830 于 2015-3-5 00:03 編輯 華為《高速數(shù)字電路設(shè)計(jì)教材》
2012-08-20 13:23:04

華為《高速數(shù)字電路設(shè)計(jì)教材》

華為《高速數(shù)字電路設(shè)計(jì)教材》這本書(shū)是專門為電路設(shè)計(jì)工程師寫(xiě)的。主要描述模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用
2014-09-01 23:09:11

華為《高速數(shù)字電路設(shè)計(jì)教材》

華為《高速數(shù)字電路設(shè)計(jì)教材》這本書(shū)是專門為電路設(shè)計(jì)工程師寫(xiě)的。主要描述模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用
2014-09-01 23:20:19

華為高速數(shù)字電路設(shè)計(jì)-華為黑魔書(shū)

本帖最后由 gk320830 于 2015-3-5 07:26 編輯 華為高速數(shù)字電路設(shè)計(jì)-華為黑魔書(shū)
2012-08-28 17:04:52

聲控開(kāi)關(guān)的數(shù)字電路設(shè)計(jì)

本帖最后由 eehome 于 2013-1-5 10:02 編輯 就算作一個(gè)數(shù)字電路設(shè)計(jì),附有 protuse仿真圖還有 相關(guān)用到的資料
2012-06-08 14:12:12

如何去實(shí)現(xiàn)一種基于FPGA芯片的可重構(gòu)數(shù)字電路設(shè)計(jì)

FPGA芯片是由哪些部分組成的?如何去實(shí)現(xiàn)一種基于FPGA芯片的可重構(gòu)數(shù)字電路設(shè)計(jì)?
2021-11-05 08:38:57

如何對(duì)數(shù)字電路PCB的EMI進(jìn)行控制?

EMI的產(chǎn)生及抑制原理如何對(duì)數(shù)字電路PCB的EMI進(jìn)行控制?
2021-04-21 06:46:24

如何對(duì)高速數(shù)字電路進(jìn)行仿真測(cè)試?

高速數(shù)字信號(hào)的阻抗匹配有什么作用?傳輸線長(zhǎng)度對(duì)高速數(shù)字電路的設(shè)計(jì)有什么影響?如何對(duì)高速數(shù)字電路進(jìn)行仿真測(cè)試?
2021-04-21 06:00:00

射頻和數(shù)字電路設(shè)計(jì)的區(qū)別是什么?

射頻和數(shù)字電路設(shè)計(jì)的區(qū)別是什么?
2021-05-18 06:05:19

怎么實(shí)現(xiàn)基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)?

怎么實(shí)現(xiàn)基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)
2021-05-06 08:36:18

我想學(xué)數(shù)字電路設(shè)計(jì)

大家好,我是電子愛(ài)好者新手,現(xiàn)在想學(xué)點(diǎn)數(shù)字電路設(shè)計(jì)。剛把數(shù)字電路這么課程學(xué)完。我想學(xué)電路設(shè)計(jì),不知道如何下手。比如FPGA什么的,這些都怎么開(kāi)始學(xué)習(xí)啊。請(qǐng)知情者指點(diǎn)下。謝謝
2013-08-02 08:17:31

招聘:數(shù)字電路設(shè)計(jì)

[獵頭職位]國(guó)家重要芯片研發(fā)中心職位:數(shù)字電路設(shè)計(jì)工程師【崗位職責(zé)】負(fù)責(zé)USB、MIPI等高速接口IP或AD/DA的數(shù)字電路設(shè)計(jì)【任職資格】1.電子工程、微電子等相關(guān)專業(yè)碩士以上學(xué)歷,兩年以上
2015-02-27 10:52:58

最通用的數(shù)字電路

各種數(shù)字電路。包括時(shí)鐘信號(hào)產(chǎn)生電路、波形整形及變換電路、定時(shí)與延時(shí)電路、計(jì)數(shù)分頻及倍頻電路、鍵盤(pán)編碼及信號(hào)輸入電路、譯碼顯示電路、通信及數(shù)據(jù)傳輸電路、數(shù)據(jù)運(yùn)算電路、微處理器電路、存儲(chǔ)器電路···
2011-08-22 14:25:01

有沒(méi)有人看過(guò)《數(shù)字電路設(shè)計(jì)》湯山俊夫?覺(jué)得怎么樣?

為什么淘寶上這本書(shū)的銷量那么低?有其他數(shù)字電路設(shè)計(jì)的實(shí)用書(shū)也可以推薦~謝謝!
2017-10-19 17:18:52

模擬電路數(shù)字電路之間的區(qū)別?

模擬電路數(shù)字電路的定義及特點(diǎn)模擬電路數(shù)字電路之間的區(qū)別模擬電路數(shù)字電路之間的聯(lián)系如何實(shí)現(xiàn)模擬和數(shù)字電路的功能
2021-03-11 06:58:41

高職院校數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)的探索與實(shí)踐

、創(chuàng)新能力,為參加大學(xué)生電子設(shè)計(jì)競(jìng)賽奠定堅(jiān)實(shí)的基礎(chǔ)?! ?b class="flag-6" style="color: red">數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)課程體系將數(shù)字電路基本原理、模擬電路、EDA技術(shù)等多門課程知識(shí)點(diǎn)融合在一起,從單元電路設(shè)計(jì)到系統(tǒng)電路設(shè)計(jì),深化了“系統(tǒng)”概念
2012-10-28 14:58:16

高職院校數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)的探索與實(shí)踐

課程體系將數(shù)字電路基本原理、模擬電路、EDA技術(shù)等多門課程知識(shí)點(diǎn)融合在一起,從單元電路設(shè)計(jì)到系統(tǒng)電路設(shè)計(jì),深化了“系統(tǒng)”概念的意識(shí)。在每一輪設(shè)計(jì)性實(shí)驗(yàn)結(jié)束后進(jìn)行總結(jié),開(kāi)展學(xué)生問(wèn)卷調(diào)查,對(duì)設(shè)計(jì)性實(shí)驗(yàn)
2012-10-25 11:59:02

高速數(shù)字電路設(shè)計(jì)與噪聲控制技術(shù)

【簡(jiǎn)介】本書(shū)從高速數(shù)字電路的定義談起,介紹了傳輸線的基本理論,并涉及到了如何運(yùn)用Grounding/Guard降低噪聲等內(nèi)容,還以高速數(shù)字電路電氣特性,如串?dāng)_、反射及時(shí)鐘脈沖不對(duì)稱等為例,闡述了一些
2017-12-12 08:51:55

高速數(shù)字電路設(shè)計(jì)及EMC設(shè)計(jì)

《高速數(shù)字電路設(shè)計(jì)及EMC設(shè)計(jì)》分享。
2015-08-04 11:50:33

高速數(shù)字電路設(shè)計(jì)的基本要求是什么

高速數(shù)字電路設(shè)計(jì)的幾個(gè)基本概念高速數(shù)字電路設(shè)計(jì)的基本要求是什么
2021-04-27 06:19:05

數(shù)字電路EDA入門——VHDL程序?qū)嵗?/a>

EDA軟件在電路設(shè)計(jì)中的應(yīng)用

EDA軟件在電路設(shè)計(jì)中的應(yīng)用 摘要: 在EDA軟件的基礎(chǔ)上, 介紹了仿真功能在數(shù)字邏輯電路設(shè)計(jì)中的應(yīng)用, 佐證了由傳統(tǒng)實(shí)驗(yàn)教學(xué)向現(xiàn)代化創(chuàng)新性教學(xué)的重要性。并進(jìn)
2009-12-05 16:22:130

基于LabVIEW的數(shù)字電路設(shè)計(jì)和仿真

基于LabVIEW的數(shù)字電路設(shè)計(jì)和仿真 數(shù)字電路設(shè)計(jì)和仿真是電子工程領(lǐng)域的基本技術(shù)。介紹了基于LabV IEW的數(shù)字電路設(shè)計(jì)和仿真的原理和方法,比較了其與專業(yè)EDA
2010-03-30 16:09:49123

EDA技術(shù)在數(shù)字電路課程設(shè)計(jì)中的應(yīng)用

摘要:在數(shù)字電路課程設(shè)計(jì)中引入先進(jìn)的EDA技術(shù)是數(shù)字電路實(shí)驗(yàn)教學(xué)改革的方向,本文通過(guò)一個(gè)數(shù)字電路課程設(shè)計(jì)的實(shí)例,說(shuō)明了基于EDA技術(shù)中的VHDL語(yǔ)言和CPLD/FPGA器件進(jìn)行數(shù)字
2010-04-26 10:08:5023

高速數(shù)字電路設(shè)計(jì)教程

本書(shū)是專門為電路設(shè)計(jì)師工程師寫(xiě)的 它主要描述模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用 1-3章分別介紹了模擬電路術(shù)語(yǔ)、邏輯門高速特性和標(biāo)準(zhǔn)高速電路測(cè)量
2010-06-23 18:02:5763

流水線技術(shù)在高速數(shù)字電路設(shè)計(jì)中的應(yīng)用

流水線技術(shù)在高速數(shù)字電路設(shè)計(jì)中的應(yīng)用
2010-07-17 16:37:216

數(shù)字電路設(shè)計(jì)中的抗干擾技術(shù)

在進(jìn)行數(shù)字電路設(shè)計(jì)和應(yīng)用開(kāi)發(fā)的過(guò)程中,經(jīng)常遇到在實(shí)驗(yàn)室調(diào)試很好的電路板一到工作現(xiàn)場(chǎng)就會(huì)出現(xiàn)這樣或那樣的問(wèn)題,這主要是由于設(shè)計(jì)未充分考慮到外界環(huán)境存在的干擾,如
2010-08-06 15:42:3249

華為《高速數(shù)字電路設(shè)計(jì)教材》

這本書(shū)是專門為電路設(shè)計(jì)工程師寫(xiě)的。它主要描述了模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用。通過(guò)列舉很多的實(shí)例,作者詳細(xì)分析了一直困擾高速電路路設(shè)計(jì)工程師的鈴
2010-11-08 16:49:540

高速數(shù)字電路設(shè)計(jì)

高速數(shù)字電路設(shè)計(jì) 關(guān)于高速數(shù)字電路的電氣特性,設(shè)計(jì)重點(diǎn)大略可分為三項(xiàng) : Ø 正時(shí) (Timing) :由于數(shù)字電路大多依據(jù)時(shí)脈信號(hào)來(lái)做信號(hào)間的同
2007-10-16 17:22:572746

數(shù)字電路設(shè)計(jì)

數(shù)字電路設(shè)計(jì) 關(guān)于高速數(shù)字電路的電氣特性,設(shè)計(jì)重點(diǎn)大略可分為三項(xiàng): 正時(shí)(Timing) :由于數(shù)字電路
2009-08-26 19:08:062665

基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)

基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)  0 引 言   可編程邏輯器件PLD(Programmable Logic De-vice)是一種數(shù)字電路,它可以由用戶來(lái)進(jìn)行編程和進(jìn)行配置,利用它可以
2009-11-16 10:46:411473

數(shù)字電路設(shè)計(jì)方案中DSP與FPGA的比較與選擇

數(shù)字信號(hào)處理技術(shù)和大規(guī)模集成電路技術(shù)的迅猛發(fā)展,為我們?cè)O(shè)計(jì)數(shù)字電路提供了新思路和新方法。當(dāng)前數(shù)字系統(tǒng)設(shè)計(jì)正朝著
2010-11-12 18:06:30745

《VHDL與數(shù)字電路設(shè)計(jì)

《VHDL與數(shù)字電路設(shè)計(jì)》是有盧毅、賴杰主編的,主要介紹涉及數(shù)字系統(tǒng)設(shè)計(jì)的多方面原理、技術(shù)及應(yīng)用,主要內(nèi)容有數(shù)字系統(tǒng)的基本設(shè)計(jì)思想、設(shè)計(jì)方法和設(shè)計(jì)步驟, VHDL 硬件描述語(yǔ)言
2011-07-11 15:54:270

數(shù)字電路設(shè)計(jì)信號(hào)完整性問(wèn)題探討

文章介紹了數(shù)字電路設(shè)計(jì)中的信號(hào)完整性問(wèn)題, 探討了振鈴、邊沿畸變、反射、地彈、串?dāng)_和抖動(dòng)等各種信號(hào)完整性問(wèn)題的成因和抑制措施。針對(duì)常見(jiàn)的反射和串?dāng)_給出了較為詳細(xì)的分
2011-09-07 16:14:58104

數(shù)字電路設(shè)計(jì)·仿真·測(cè)試

主要內(nèi)容有:第1 章實(shí)驗(yàn)基本知識(shí)、第2 章 PROTEUS 仿真軟件快速入門、第3 章數(shù)字電路基礎(chǔ)實(shí)驗(yàn)、第4 章數(shù)字電路綜合設(shè)計(jì)實(shí)驗(yàn)、 第5章VHDL 語(yǔ)言基礎(chǔ)、第6 章 數(shù)字電路的CPLD/FPGA 實(shí)現(xiàn)。在教
2011-09-07 16:29:530

數(shù)字電路組成的計(jì)數(shù)器原理電路

如圖所示的 數(shù)字電路 組成的計(jì)數(shù)器是采用紅外線作檢測(cè)信號(hào),對(duì)活動(dòng)目標(biāo)掃描計(jì)數(shù)的小裝置,很適用于生產(chǎn)線,對(duì)傳送帶上移動(dòng)的物品進(jìn)行快速而準(zhǔn)確的計(jì)數(shù)。也可用于其他目的,例
2011-09-18 11:41:547723

高速數(shù)字電路設(shè)計(jì)及EMC設(shè)計(jì)

高速數(shù)字電路設(shè)計(jì)及EMC設(shè)計(jì)!資料來(lái)源網(wǎng)絡(luò),如有侵權(quán),敬請(qǐng)見(jiàn)諒
2015-11-19 14:48:570

基于數(shù)字電路的汽車尾燈控制電路設(shè)計(jì)

利用數(shù)字電路來(lái)制作汽車尾燈控制電路,在制作前需詳細(xì)了解數(shù)字電路的基本知識(shí),可在multisim軟件中設(shè)計(jì)仿真
2015-12-30 17:22:3829

高速數(shù)字電路設(shè)計(jì)(完整版)

這本書(shū)是專門為電路設(shè)計(jì)工程師寫(xiě)的 它主要描述了模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用 通過(guò)列舉很多的實(shí)例 作者詳細(xì)分析了一直困擾高速電路路設(shè)計(jì)工程師的鈴流 串?dāng)_和輻射噪音等問(wèn)題。
2016-03-09 10:19:440

高速數(shù)字電路設(shè)計(jì)及EMC設(shè)計(jì)

高速數(shù)字電路設(shè)計(jì)及EMC設(shè)計(jì)(華為),下來(lái)看看。
2016-03-29 15:41:2052

高速數(shù)字電路設(shè)計(jì)大全

高速數(shù)字電路設(shè)計(jì)大全
2017-01-17 19:54:2455

數(shù)字電路設(shè)計(jì)方案中DSP與FPGA的比較與選擇

數(shù)字電路設(shè)計(jì)方案中DSP與FPGA的比較與選擇
2017-01-18 20:39:1315

基于EDA與ISP技術(shù)的數(shù)字電路的設(shè)計(jì)

基于EDA與ISP技術(shù)的數(shù)字電路的設(shè)計(jì)
2017-02-07 14:58:1820

利用EDA技術(shù)設(shè)計(jì)的數(shù)字電路極大地提高了效率性和可靠性

利用VHDL語(yǔ)言設(shè)計(jì)一個(gè)簡(jiǎn)單的數(shù)字電路。原理框圖如圖1所示,該電路用于計(jì)數(shù)電路之前的控制信號(hào)產(chǎn)生,功能要求是對(duì)外部電路產(chǎn)生送入的clk(8Hz)信號(hào)進(jìn)行計(jì)數(shù),輸出信號(hào)送入控制電路周期性地產(chǎn)生3個(gè)信號(hào)控制后級(jí)計(jì)數(shù)電路,這三個(gè)信號(hào)分別是:
2018-07-24 11:09:00904

數(shù)字電路設(shè)計(jì)方案中EDA技術(shù)會(huì)帶來(lái)什么影響?

通過(guò)仿真確定設(shè)計(jì)基本成功后,即可通過(guò)Byteblaster下載電纜線將設(shè)計(jì)項(xiàng)目以JTAG方式下載到器件中,完成設(shè)計(jì)所有工作。通過(guò)此例設(shè)計(jì)流程講述可知,EDA技術(shù)及其工具在數(shù)字電路系統(tǒng)(包括模擬電路系統(tǒng))中正發(fā)揮著越來(lái)越重要作用,其應(yīng)用深度和廣度正在向更深層次延伸。
2018-07-18 13:59:001007

模擬電路原理在高速數(shù)字電路設(shè)計(jì)的應(yīng)用分析《高速數(shù)字電路設(shè)計(jì)教材》

 這本書(shū)是專門為電路設(shè)計(jì)工程師寫(xiě)的。它主要描述了模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用。通過(guò)列舉很多的實(shí)例,作者詳細(xì)分析了一直困擾高速電路路設(shè)計(jì)工程師的鈴流、串?dāng)_和輻射噪音等問(wèn)題。
2018-09-10 08:00:0061

什么是模擬電路 什么是數(shù)字電路

  模擬信號(hào)數(shù)字信號(hào)的特點(diǎn)不同,處理這兩種信號(hào)的方法和電路也不同。一般地, 電子電路可分為模擬電路數(shù)字電路兩大類?!   ?. 模擬電路    處理模擬信號(hào)的電子電路稱為模擬電路。模擬電路研究
2018-10-16 10:25:0997754

高速數(shù)字電路設(shè)計(jì)方案

高速數(shù)字電路設(shè)計(jì)跟低速數(shù)字電路設(shè)計(jì)不同的是:他強(qiáng)調(diào)組成電路的無(wú)源部件對(duì)電路的影響。這些無(wú)源器件包括導(dǎo)線、電路板和組成數(shù)字產(chǎn)品的集成電路。在低速設(shè)計(jì)中,這些部件單純 的只是電路的一部分,根本不用多做考慮,可是在高速設(shè)計(jì)中,這些部件對(duì)電路的性能有著直接的影響。
2019-04-11 11:38:323581

數(shù)字電路應(yīng)用

目前,數(shù)字電路的應(yīng)用已極為廣泛。在數(shù)字通信系統(tǒng)中,在圖像及電視信號(hào)處理中,都可以用若干個(gè)0和1編制成各種代碼,分別代表不同的信息含義;在自動(dòng)控制中,可以利用數(shù)字電路的邏輯功能,設(shè)計(jì)出各種各樣的數(shù)字控制裝置。
2019-05-10 16:45:489046

數(shù)字電路比模擬電路的優(yōu)點(diǎn)

本文主要詳細(xì)介紹了數(shù)字電路比模擬電路的優(yōu)點(diǎn),分別是數(shù)字電路結(jié)構(gòu)簡(jiǎn)單、數(shù)字電路容易標(biāo)準(zhǔn)化、數(shù)字電路能夠滿足對(duì)信號(hào)保真度的要求。
2019-05-16 17:50:3617510

數(shù)字電路模擬特性的信號(hào)完整性

信號(hào)完整性是研究數(shù)字電路的模擬特性。研究對(duì)象是數(shù)字電路,研究?jī)?nèi)容是研究它的模擬特性,我們先回顧模擬電路數(shù)字電路。
2019-08-22 14:44:191707

EDA技術(shù)的優(yōu)勢(shì)及在數(shù)字電路課程設(shè)計(jì)中的應(yīng)用

電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation,EDA)技術(shù)是新興的電子設(shè)計(jì)自動(dòng)化工具,是目前世界電子設(shè)計(jì)的最新技術(shù)方向和潮流 [1]。在數(shù)字電路實(shí)驗(yàn)中,EDA 作為一種重要的實(shí)驗(yàn)工具使傳統(tǒng)數(shù)字電路實(shí)驗(yàn)的教學(xué)模式得到了改變,實(shí)驗(yàn)的操作過(guò)程得到了一定的簡(jiǎn)化。
2020-08-16 11:58:322218

基于FPGA的數(shù)字電路設(shè)計(jì)

數(shù)字電路作為一門專業(yè)基礎(chǔ)課,除了介紹數(shù)字電路的理論知識(shí)外,更需要通過(guò)配套的實(shí)驗(yàn)平臺(tái)將理論知識(shí)和實(shí)踐環(huán)節(jié)相結(jié)合,培養(yǎng)學(xué)生的動(dòng)手能力和實(shí)踐創(chuàng)新能力。
2020-08-16 12:03:456486

計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)及措施

在當(dāng)今電子技術(shù)行業(yè)發(fā)展過(guò)程中,對(duì)高速電路數(shù)字設(shè)計(jì)十分關(guān)注,高速數(shù)字電路是利用多個(gè)電子元件組成的,可以讓計(jì)算機(jī)高速數(shù)字電路技術(shù)進(jìn)一步提高,因此在計(jì)算機(jī)中使用高速數(shù)字電路設(shè)計(jì)技術(shù)也就更加普遍。
2020-08-21 17:41:102924

高速數(shù)字電路設(shè)計(jì)-華為

高速數(shù)字電路設(shè)計(jì)-華為
2021-04-21 15:45:080

華為高速數(shù)字電路設(shè)計(jì)教材資源下載

華為高速數(shù)字電路設(shè)計(jì)教材資源下載
2021-06-04 11:06:0086

高速數(shù)字電路設(shè)計(jì)中的信號(hào)反射抑制綜述

主要研究了高速數(shù)字電路設(shè)計(jì)信號(hào)反射的抑制方法。理論上分析了信號(hào)反射產(chǎn)生的原因及其對(duì)電路設(shè)計(jì)指標(biāo)的影響通過(guò)電路仿真比較不同的布局布線和端接策略并針對(duì)具體情況提出了合理的布局布線和接方法。該方法已成功應(yīng)用于多DP并聯(lián)處理系統(tǒng)中實(shí)踐證明該方法可靠、系統(tǒng)工作穩(wěn)定。
2021-08-12 17:14:2015

FPGA CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享.

FPGA CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享.(電源技術(shù)發(fā)展怎么樣)-FPGA CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享? ? ? ? ? ? ? ? ? ??
2021-09-18 10:58:0351

實(shí)用的電子電路設(shè)計(jì)與調(diào)試數(shù)字電路

實(shí)用電子電路設(shè)計(jì)與調(diào)試數(shù)字電路教材資料免費(fèi)下載。
2022-04-07 14:46:3826

華為黑魔書(shū)-高速數(shù)字電路設(shè)計(jì)PDF版

黑魔書(shū) 351頁(yè)- 高速數(shù)字設(shè)計(jì)PDF版,華為內(nèi)部數(shù)字電路設(shè)計(jì)教材
2022-06-08 14:33:250

高速數(shù)字電路設(shè)計(jì)教材-華為

高速數(shù)字電路設(shè)計(jì)教材-華為
2022-06-13 14:55:540

數(shù)字電路設(shè)計(jì)的基本流程

數(shù)字電路設(shè)計(jì)數(shù)字電路最為關(guān)鍵及重要的一步,今天我們將從各個(gè)流程為大家介紹完整的數(shù)字電路設(shè)計(jì)!
2022-07-10 17:14:166046

數(shù)字電路和模擬電路的區(qū)別

處理的信號(hào)不同 模擬電路處理的是時(shí)間和幅度連續(xù)變化的模擬信號(hào),而數(shù)字電路處理的是用“0”和“1”兩個(gè)基本數(shù)字符號(hào)表示的離散信號(hào)。在數(shù)字電路中,通常低電平用數(shù)字“0”來(lái)表示,高電平用數(shù)字“1”來(lái)表示。
2023-02-13 09:54:105905

工程師如何提高數(shù)字電路水平

數(shù)字電路是現(xiàn)代電子領(lǐng)域中最基礎(chǔ)和重要的一部分,工程師的數(shù)字電路水平不僅關(guān)系到電路設(shè)計(jì)的質(zhì)量,還關(guān)系到整個(gè)系統(tǒng)的穩(wěn)定性和性能。如何提高數(shù)字電路水平,成為一名優(yōu)秀的數(shù)字電路設(shè)計(jì)工程師呢?下面我們就來(lái)探討一下。
2023-02-17 10:20:39270

數(shù)字電路的定義、應(yīng)用及分類

數(shù)字電路是現(xiàn)代電子技術(shù)中的重要組成部分,它是由數(shù)字信號(hào)進(jìn)行處理和傳輸?shù)?b class="flag-6" style="color: red">電路系統(tǒng)。數(shù)字電路的定義是指由邏輯門和觸發(fā)器等基本邏輯元件組成的電路,用于處理和傳輸數(shù)字信號(hào)。數(shù)字電路通過(guò)將輸入信號(hào)轉(zhuǎn)換為離散
2023-07-31 11:46:224970

為什么說(shuō)數(shù)字電路無(wú)法取代模擬電路

為什么說(shuō)數(shù)字電路無(wú)法取代模擬電路 數(shù)字電路和模擬電路是兩種不同的電路體系,它們各有優(yōu)劣。數(shù)字電路是基于數(shù)字信號(hào)的處理方式,可以實(shí)現(xiàn)復(fù)雜的邏輯運(yùn)算和控制功能,而模擬電路則是利用連續(xù)信號(hào)來(lái)實(shí)現(xiàn)電路功能
2023-08-27 15:37:051137

數(shù)字電路如何實(shí)現(xiàn)倍頻?

數(shù)字電路如何實(shí)現(xiàn)倍頻?? 數(shù)字電路是由數(shù)字電子器件以及邏輯門電路組成,可以用于處理數(shù)字信號(hào)數(shù)字數(shù)據(jù)。其中最基本的電子器件有晶體管、二極管等,而邏輯門電路包括了與門、或門、非門、異或門等。在數(shù)字電路
2023-09-18 10:37:433084

數(shù)字電路用什么儀器測(cè)試

數(shù)字電路用什么儀器測(cè)試? 數(shù)字電路測(cè)試是數(shù)字電路設(shè)計(jì)的重要環(huán)節(jié)。它是驗(yàn)證數(shù)字電路功能是否按照所期望的方式工作的過(guò)程。在數(shù)字電路測(cè)試過(guò)程中,要使用一些專門的儀器來(lái)驗(yàn)證電路是否按照設(shè)計(jì)預(yù)期的方式工作
2023-09-19 16:33:13570

數(shù)字電路中的計(jì)數(shù)器詳解

數(shù)字電路中一個(gè)非常重要的器件就是計(jì)數(shù)器,即統(tǒng)計(jì)脈沖個(gè)數(shù)。
2023-10-17 12:46:021999

建立/保持時(shí)間對(duì)數(shù)字電路的影響

建立/保持時(shí)間對(duì)數(shù)字電路的影響 數(shù)字電路是指使用數(shù)字信號(hào)進(jìn)行連接和處理信息的電路。數(shù)字電路是由一系列數(shù)字邏輯門和觸發(fā)器構(gòu)成的,這些組件可以在特定的輸入下產(chǎn)生特定的輸出。時(shí)間是數(shù)字電路中不可忽略的因素
2023-10-29 14:21:46346

高速數(shù)字電路設(shè)計(jì).zip

高速數(shù)字電路設(shè)計(jì)
2022-12-30 09:22:1819

高速數(shù)字電路設(shè)計(jì)教材-華為.zip

高速數(shù)字電路設(shè)計(jì)教材-華為
2022-12-30 09:22:1841

FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

電子發(fā)燒友網(wǎng)站提供《FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享.pdf》資料免費(fèi)下載
2023-11-21 11:03:123

對(duì)話國(guó)產(chǎn)EDA和IP廠商,如何攻克大規(guī)模數(shù)字電路設(shè)計(jì)挑戰(zhàn)?

芯片設(shè)計(jì)企業(yè)關(guān)注的焦點(diǎn)。作為芯片產(chǎn)業(yè)的根技術(shù)和硬科技,EDA和IP在大規(guī)模數(shù)字電路設(shè)計(jì)中發(fā)揮著不可替代的作用,也是集成電路技術(shù)發(fā)展的重要助推器。其運(yùn)用的好壞,決定著
2023-12-28 08:23:15655

已全部加載完成