電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>連接器>利用FPGA調(diào)試光纖的一些設(shè)計(jì)經(jīng)驗(yàn)

利用FPGA調(diào)試光纖的一些設(shè)計(jì)經(jīng)驗(yàn)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

FPGA牛人的經(jīng)驗(yàn)分享

這里FPGA牛人一些經(jīng)驗(yàn)和大家分享,希望能對(duì)IC設(shè)計(jì)的新手有一定的幫助,能使得他們能少走一些彎路!
2012-03-21 10:17:491054

FPGA調(diào)試存在哪些不可避免的問題

FPGA調(diào)試時(shí)硬件設(shè)計(jì)中及其重要的一步,本文就在FPGA調(diào)試過程中存在3種常見的誤解,進(jìn)行一些討論....
2018-09-19 09:27:504045

fpga時(shí)序分析案例 調(diào)試FPGA經(jīng)驗(yàn)總結(jié)

今天跟大家分享的內(nèi)容很重要,也是調(diào)試FPGA經(jīng)驗(yàn)的總結(jié)。隨著FPGA對(duì)時(shí)序和性能的要求越來越高,高頻率、大位寬的設(shè)計(jì)越來越多。在調(diào)試這些FPGA樣機(jī)時(shí),需要從寫代碼時(shí)就要小心謹(jǐn)慎,否則寫出來的代碼
2023-08-01 09:18:341041

#共建FPGA開發(fā)者技術(shù)社區(qū),為FPGA生態(tài)點(diǎn)贊#+2023.11.8+FPGA設(shè)計(jì)的實(shí)踐與經(jīng)驗(yàn)分享

1.對(duì)輸入輸出進(jìn)行限制,例如可接受的輸入范圍和輸出幅度等 2.將功能拆分為多個(gè)模塊,降低設(shè)計(jì)復(fù)雜度,方便調(diào)試和維護(hù)3.添加注釋和文檔,方便代碼理解和后期維護(hù)。 以上是FPGA設(shè)計(jì)中的一些經(jīng)驗(yàn)和技巧分享,希望能夠?qū)Υ蠹矣兴鶐椭?。?dāng)然,隨著FPGA技術(shù)的不斷進(jìn)步和發(fā)展,我們也需要不斷學(xué)習(xí)
2023-11-08 15:25:25

FPGA/CPLD 數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)一些設(shè)計(jì)方法

數(shù)字系統(tǒng)是行之有效的,通過許多設(shè)計(jì)實(shí)例證明采用這種方式可以使電路的后仿真通過率大大提高, 并且系統(tǒng)的工作頻率可以達(dá)到個(gè)較高水平。本文檔為你講述FPGA/CPLD 數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)一些設(shè)計(jì)方法:[hide] [/hide]
2012-02-02 15:40:10

FPGA經(jīng)驗(yàn)對(duì)IC設(shè)計(jì)有什么幫助?

這里我談?wù)勎业?b class="flag-6" style="color: red">一些經(jīng)驗(yàn)和大家分享,希望能對(duì)IC設(shè)計(jì)的新手有定的幫助,能使得他們能少走一些彎路!
2019-09-24 07:52:23

FPGA入門學(xué)習(xí)經(jīng)驗(yàn)總結(jié)(轉(zhuǎn))

,知道怎樣編譯、仿真、下載等過程。起步階段不希望報(bào)一些培訓(xùn)班,除非你有錢,或者運(yùn)氣好,碰到個(gè)水平高、又想把自己的經(jīng)驗(yàn)和別人共享的培訓(xùn)老師,不然的話,培訓(xùn)完后總會(huì)感覺自己是個(gè)冤大頭。入門階段可以在
2013-04-09 08:01:06

FPGA前輩的經(jīng)驗(yàn)分享

FPGA牛人的經(jīng)驗(yàn)談 這里我談?wù)勎业?b class="flag-6" style="color: red">一些經(jīng)驗(yàn)和大家分享,希望能對(duì)IC設(shè)計(jì)的新手有定的幫助,能使得他們能少走一些彎路,歡迎討論! 我相信“如果有夢(mèng)想,就會(huì)實(shí)現(xiàn)!” 在IC工業(yè)中有許多不同的領(lǐng)域,IC
2019-03-20 04:24:16

FPGA學(xué)習(xí)經(jīng)驗(yàn)(轉(zhuǎn))

。熟練使用設(shè)計(jì)軟件,知道怎樣編譯、仿真、下載等過程。 起步階段不希望報(bào)一些培訓(xùn)班,除非你有錢,或者運(yùn)氣好,碰到個(gè)水平高、又想把自己的經(jīng)驗(yàn)和別人共享的培訓(xùn)老師, 不然的話,培訓(xùn)完后總會(huì)感覺自己是個(gè)冤大頭
2011-10-14 13:31:46

FPGA學(xué)習(xí)的一些誤區(qū)

FPGA學(xué)習(xí)的一些誤區(qū)
2012-08-12 11:46:16

FPGA學(xué)習(xí)的一些誤區(qū)

FPGA學(xué)習(xí)的一些誤區(qū)這是網(wǎng)上篇非常不錯(cuò)的文章,雖然很長,但還是希望大家能耐心看完,我想對(duì)初學(xué)者還是很有幫助的!因?yàn)楹芏鄤倓傞_始學(xué)習(xí)FPGA的朋友們都可能會(huì)遇上這樣的問題。1、不熟悉FPGA
2017-03-13 15:31:16

FPGA學(xué)習(xí)的一些誤區(qū)

設(shè)備等。當(dāng)現(xiàn)有芯片無法滿足系統(tǒng)的需求時(shí),就需要用FPGA來快速的定義個(gè)能用的芯片。前面說了,FPGA里面無法就是一些“真值表”、觸發(fā)器、各種連線以及一些硬件資源,電子系統(tǒng)工程師使用FPGA進(jìn)行設(shè)計(jì)時(shí)
2013-09-24 11:45:45

FPGA一些基礎(chǔ)資料分享

一些基礎(chǔ)
2019-03-28 15:55:22

FPGA一些簡單設(shè)計(jì)資料

FPGA一些簡單設(shè)計(jì)資料
2014-06-10 11:04:33

FPGA資深FAE的經(jīng)驗(yàn)獨(dú)白

FPGA經(jīng)驗(yàn)嗎?  3. 熟悉了開發(fā)流程:  接下來就可以分析或者改寫原教程中的代碼或者模塊,有針對(duì)性地去實(shí)現(xiàn)一些功能模塊,并且通過一些軟件自帶的調(diào)試組件來輔助驗(yàn)證結(jié)果是否符合預(yù)期,如
2014-09-24 15:21:56

FPGA資深FAE的經(jīng)驗(yàn)獨(dú)白~

基礎(chǔ)的數(shù)字電路和HDL硬件描述語言,當(dāng)然這只是入門必備,實(shí)際上遠(yuǎn)遠(yuǎn)不夠。個(gè)人拙見,要入行除了至少掌握FPGA的仿真及開發(fā)調(diào)試流程之外;起碼還要了解一些模擬電路知識(shí),掌握諸如電源紋波、時(shí)鐘抖動(dòng)、信號(hào)質(zhì)量
2017-01-07 10:34:40

一些FPGA資料及論文

一些FPGA論文,希望能幫助到大家~!~
2013-06-18 11:31:37

一些FPGA行業(yè)相關(guān)的優(yōu)秀網(wǎng)站

for the Latest ICs and Components 非常好的關(guān)于微處理器,DSP,可以編程控制器資訊的網(wǎng)站,更新非常快。強(qiáng)烈推薦一些領(lǐng)導(dǎo)級(jí)別的人常去,了解行業(yè)動(dòng)態(tài)! http
2011-11-04 14:16:24

調(diào)試STM32硬件IIC從機(jī)的一些心得

IIC從機(jī)的一些心得體會(huì)。硬件IIC主機(jī)通信見另篇文章:傳送門 。硬件平臺(tái):STM32F205軟件平臺(tái):keil v5函數(shù)庫:標(biāo)準(zhǔn)庫硬件IIC從機(jī)初始化下面看下STM32中IIC的...
2021-08-11 09:34:47

調(diào)試伺服遇到的問題和經(jīng)驗(yàn)分享

中也跟我們的研發(fā)技術(shù)起學(xué)習(xí)了很多,所以接下來會(huì)不定時(shí)的更新一些調(diào)試遇到的問題和經(jīng)驗(yàn),希望拿出來跟大家起討論分享下,也希望大神們能不吝賜教。 首先,從伺服的功能說起,接下來針對(duì)增益,波形什么
2021-09-17 06:59:15

AD轉(zhuǎn)換設(shè)計(jì)的一些經(jīng)驗(yàn)總結(jié)

,般會(huì)有評(píng)估板的Layout圖可供參考?! ?.模數(shù)轉(zhuǎn)換器的精度與噪聲系數(shù)之間有什么必然的聯(lián)系嗎?  低速模數(shù)轉(zhuǎn)換器的精度用峰峰值分辨率,有效值分辨率來表示。在ADI一些Sigma-delta ADC
2017-10-24 08:23:54

Rockchip平臺(tái)LCD調(diào)試一些經(jīng)驗(yàn)總結(jié)

1、RK平臺(tái)LCD調(diào)試說明  LVDS調(diào)試  dts實(shí)例  貼個(gè)LVDS屏參的實(shí)例,僅供參考:  disp_timings: display-timings &#123
2022-10-08 16:27:31

STM32F4調(diào)試bug的經(jīng)驗(yàn)記錄

最近完成了一些算法,需要移植到STM32F4系列的板子上用作實(shí)際工程,其中遇到了許多的問題,下面記錄一些調(diào)試bug的經(jīng)驗(yàn)記錄。1、編譯沒有問題,但是調(diào)試運(yùn)行時(shí)卻會(huì)進(jìn)入到某函數(shù)無法運(yùn)行,之后跳到內(nèi)存
2021-08-17 06:43:55

Xilinx FPGA配置的一些細(xì)節(jié)

Xilinx FPGA配置的一些細(xì)節(jié)0 參考資料(1) Xilinx: Development System Reference Guide. dev.pdf, v10.1在Xilinx的doc目錄
2015-08-20 22:57:10

Xilinx FPGA配置的一些細(xì)節(jié)

Xilinx FPGA配置的一些細(xì)節(jié)0 參考資料(1) Xilinx: Development SystemReference Guide. dev.pdf, v10.1在Xilinx的doc目錄
2016-05-22 23:38:23

jlink調(diào)試一些小經(jīng)驗(yàn)

本帖最后由 richthoffen 于 2019-7-20 11:19 編輯 jlink調(diào)試一些小經(jīng)驗(yàn),歡迎下載
2016-06-02 08:25:37

經(jīng)驗(yàn)分享】FPGA學(xué)習(xí)方法經(jīng)驗(yàn)匯總帖

使用設(shè)計(jì)軟件,知道怎樣編譯、仿真、下載等過程。起步階段不希望報(bào)一些培訓(xùn)班,除非你有錢,或者運(yùn)氣好,碰到個(gè)水平高、又想把自己的經(jīng)驗(yàn)和別人共享的培訓(xùn)老師, 不然的話,培訓(xùn)完后總會(huì)感覺自己是個(gè)冤大頭。入門
2014-12-11 11:31:10

【分享】DSP編程的一些經(jīng)驗(yàn)

一些簡單的程序,逐漸的用到了寄存器、中斷等。這樣就熟悉了以前看書時(shí)想努力記住但沒有成功的一些指令和寄存器的配置,還逐步的有了一些調(diào)試經(jīng)驗(yàn)。逐漸的我不像以前那樣急切,很多問題是要細(xì)心的體會(huì),試驗(yàn)次不能
2014-07-25 11:46:35

三年工作經(jīng)驗(yàn):電源板layout的一些注意點(diǎn)

三年工作經(jīng)驗(yàn):電源板layout的一些注意點(diǎn)
2014-12-19 17:28:37

三年工作經(jīng)驗(yàn):電源板layout的一些注意點(diǎn)

三年工作經(jīng)驗(yàn):電源板layout的一些注意點(diǎn)
2014-12-19 17:29:59

個(gè)人收集的幾篇關(guān)于FPGA設(shè)計(jì)經(jīng)驗(yàn)和選型的文章,與君分享

FPGA系統(tǒng)設(shè)計(jì)實(shí)戰(zhàn)經(jīng)驗(yàn)分享-硬件篇這個(gè)帖子主要和大家介紹一些我們?cè)?b class="flag-6" style="color: red">FPGA硬件系統(tǒng)設(shè)計(jì)過程中遇到的問題和解決的方法。也歡迎大家起參與討論。主要涉及以下幾個(gè)方面:1。芯片的選型包括FPGA芯片
2012-02-22 13:58:51

伺服調(diào)試一些經(jīng)驗(yàn)總結(jié)

安川伺服調(diào)試一些經(jīng)驗(yàn)在查找慣量比的過程中看到的,這是伺服電機(jī)的驅(qū)動(dòng)過程中發(fā)生的問題,首先應(yīng)當(dāng)匹配慣量,伺服電機(jī)應(yīng)當(dāng)考慮剛性,還有速度,速度高,慣量還會(huì)大。再生電阻還是不知道怎么配置。 安川伺服調(diào)試一些經(jīng)驗(yàn): 1、 安川伺服在低剛性(1~4)負(fù)載應(yīng)用時(shí),慣量比顯得非常重要,以同步帶結(jié)構(gòu)而論
2021-09-07 08:05:06

使用WiFi的過程中總結(jié)的一些經(jīng)驗(yàn)

簡述在我們做項(xiàng)目開發(fā)中,經(jīng)常會(huì)用到WiFi,這次給大家分享下我在使用WiFi的過程中總結(jié)的一些經(jīng)驗(yàn),這次用到的是esp8266WiFi模塊,如圖所示。引腳連接:GND:接地GPIO16:其實(shí)是
2021-08-05 07:30:19

關(guān)于FPGA 軟件的一些使用方法

本帖最后由 XYWYLR 于 2013-7-11 16:00 編輯 關(guān)于FPGA軟件的一些簡單使用教程。希望可以幫到一些初學(xué)者
2013-07-11 15:56:12

關(guān)于FPGA一些典型問題總結(jié)

關(guān)于FPGA一些典型問題總結(jié)
2015-11-04 13:05:26

關(guān)于EMC/EMI的一些經(jīng)驗(yàn)

現(xiàn)在在畫PCB,新手,希望能和各大高手多多交流學(xué)習(xí),這是我在網(wǎng)上找的關(guān)于EMC/EMI的一些經(jīng),和大家分享下,不足的地方希望大家補(bǔ)充。
2015-04-19 21:45:29

關(guān)于PLL動(dòng)態(tài)重配置的一些經(jīng)驗(yàn)

參考了官網(wǎng)和各路大神寫的一些關(guān)于PLL動(dòng)態(tài)重配置的資料,雖然有收獲但是還是感覺大神們寫的太高端,不夠詳細(xì),對(duì)于我這種學(xué)渣看起來還是迷迷糊糊。所以整理了下自己的經(jīng)驗(yàn),把整個(gè)過程記錄了下來。沒有很多語言全部是截圖大家湊合看吧。附有源代碼和Word文檔。
2017-10-12 12:32:44

關(guān)于錄音機(jī)的一些調(diào)試感悟系列

關(guān)于畢設(shè)的一些調(diào)試感悟系列1.硬件平臺(tái)的搭建用的完全是正點(diǎn)原子的硬件平臺(tái)-阿波羅(STM32H743),所以基本不用再額外調(diào)試硬件系統(tǒng),只是程序調(diào)試上需要再花一些時(shí)間進(jìn)行調(diào)整。設(shè)計(jì)的硬件系統(tǒng)包括
2021-08-17 06:53:44

關(guān)于控件美化一些經(jīng)驗(yàn)

制作自定義控件的一些經(jīng)驗(yàn)體會(huì),大家可以多提意見
2019-12-11 09:01:04

關(guān)于通信的一些經(jīng)驗(yàn)分享

關(guān)于通信的一些經(jīng)驗(yàn)分享
2021-05-26 06:16:41

寫程序簡單一調(diào)試就頭疼?分享一些C語言調(diào)試經(jīng)驗(yàn)

寫程序簡單一調(diào)試就頭疼?分享一些C語言調(diào)試經(jīng)驗(yàn)很多同學(xué)在寫C語言時(shí),感覺最難的不是程序怎么寫,而是程序?qū)懲炅嗽趺?b class="flag-6" style="color: red">調(diào)試。程序?qū)懗鰜砹耍约簷z查著沒有錯(cuò)誤,但運(yùn)行卻出現(xiàn)大堆錯(cuò)誤,好不容易、好不容易改
2018-07-21 21:13:25

分享一些光纖模塊接口類型有用信息給大家

為了讓新的合作伙伴輕松地學(xué)習(xí)光模塊接口,下面易天光通信為大家總結(jié)了一些有用的信息:光纖模塊的接口類型:雙纖接口,單纖接口和RJ-45接口。1.雙纖接口:常見的是雙纖SC和雙纖LC雙纖SC雙纖LC
2018-04-13 14:03:19

分享一些以太網(wǎng)常用的調(diào)試方法

對(duì)于單phy的平臺(tái)以太網(wǎng)技術(shù)已經(jīng)很成熟,這里提供一些以太網(wǎng)常用的調(diào)試方法。幫助客戶快速定位常見問題。 以太網(wǎng)常用的命令有哪些呢?如何對(duì)其進(jìn)行調(diào)試呢?
2021-12-29 07:32:08

分享一些基于直流電機(jī)調(diào)速平臺(tái)的PID參數(shù)整定經(jīng)驗(yàn)

PID參數(shù)整定有什么作用?分享一些基于直流電機(jī)調(diào)速平臺(tái)的PID參數(shù)整定經(jīng)驗(yàn)
2021-06-30 06:42:04

分享STM32的矩陣鍵盤掃描及處理的一些經(jīng)驗(yàn)

定的挑戰(zhàn)。接下來就分享下我在寫這按鍵掃描及處理中的一些經(jīng)驗(yàn)吧。整個(gè)工程所需要的輸出值可通過鍵盤任意控制,有位小數(shù)。、按鍵掃描1.矩陣按鍵的原理圖矩陣鍵盤原理圖示意圖,具體鍵值根據(jù)自己需求設(shè)置2、按鍵掃描代碼總體思路:先設(shè)置兩個(gè)初始化函數(shù),KeyPad_Init1()是高四位初始化為下拉輸入、
2022-02-21 06:28:28

分享制作八木定向天線的一些經(jīng)驗(yàn)

分享制作八木定向天線的一些經(jīng)驗(yàn)
2021-05-25 06:55:56

分享步進(jìn)電機(jī)驅(qū)動(dòng)的一些經(jīng)驗(yàn)

來源:公眾號(hào)【魚鷹談單片機(jī)】作者:魚鷹OspreyID :emOsprey以下是魚鷹當(dāng)初完成公司第個(gè)項(xiàng)目時(shí)寫的總結(jié),大家可以看看能否得到一些啟發(fā)(或許會(huì)比較枯燥,畢竟這是魚鷹自己的...
2021-07-08 08:35:15

分享電源工作中積累的一些實(shí)用經(jīng)驗(yàn)

將為大家分享自己在十年研發(fā)電源工作中,積累的一些實(shí)用經(jīng)驗(yàn),希望對(duì)大家有所幫助。1. 變壓器圖紙、PCB、原理圖這三者的變壓器飛線位號(hào)需致,這是安規(guī)認(rèn)證要求。很多工程師在申請(qǐng)安規(guī)認(rèn)證提交資料時(shí)會(huì)犯這個(gè)錯(cuò)誤...
2021-12-30 07:42:13

分享設(shè)計(jì)pcb layout的一些經(jīng)驗(yàn)

分享設(shè)計(jì)pcb layout的一些經(jīng)驗(yàn)。
2021-04-23 06:10:16

單片機(jī)電路一些總結(jié)經(jīng)驗(yàn)資料下載

此文件是我對(duì)單片機(jī)電路一些總結(jié)經(jīng)驗(yàn),希望可以幫到大家,快下載看看吧!單片機(jī)電路設(shè)計(jì)經(jīng)驗(yàn)2.pdf 2015-3-10 15:49 上傳 點(diǎn)擊文件名下載附件 187.6 KB, 下載次數(shù): 13
2018-07-19 03:52:23

如何利用開發(fā)庫開發(fā)一些USB設(shè)備

前言:stm32產(chǎn)品大多數(shù)攜帶了個(gè)USB2.0全速外設(shè),并提供了USB開發(fā)庫;我們可以利用開發(fā)庫開發(fā)一些USB設(shè)備,比如音頻設(shè)備、大容量存儲(chǔ)設(shè)備、打印機(jī)、人機(jī)接口設(shè)備等。PC端之所以能識(shí)別
2022-02-22 06:38:54

如果兩個(gè)FPGA我選擇雙工通信,可以只使用光纖嗎?

接口,所以我想問一些問題,如果兩個(gè)FPGA我選擇雙工通信,我可以只使用光纖FPGA_2在與FPGA_3通信時(shí)從FPGA_1接收數(shù)據(jù))嗎?或者在對(duì)LC接口中有兩個(gè)單工,個(gè)接收發(fā)送(但是Map直出錯(cuò))?
2019-08-07 10:09:05

學(xué)習(xí)FPGA一些體會(huì)

一些培訓(xùn)班,除非你有錢,或者命運(yùn)運(yùn)限好,遇到個(gè)水平高、又想把自己的經(jīng)驗(yàn)和別人共享的培訓(xùn)老師, 不然的話,培訓(xùn)完后總會(huì)感覺自己是個(gè)冤大頭。入門階段可以在利用網(wǎng)絡(luò)資源完成。2、工欲善其事,必先利其器
2011-07-11 14:53:20

對(duì)于ALTERA_FPGA compilation的一些學(xué)習(xí)經(jīng)驗(yàn)

RXD和TXD交叉連接起來把、這樣才能傳遞數(shù)據(jù)。注:以上均為個(gè)人觀點(diǎn),旨在分享FPGA新手學(xué)習(xí)的經(jīng)驗(yàn),本人接觸FPGA時(shí)間不長,以上有不足之處懇請(qǐng)高手指出。`
2013-07-06 17:41:23

嵌入式Bug調(diào)試經(jīng)驗(yàn)匯總

來源:互聯(lián)網(wǎng)總有一些工程師吐槽嵌入式有多難學(xué),Bug調(diào)試不知從何下手!今天小編就給大家分享位嵌入式技術(shù)大牛的10年Bug調(diào)試經(jīng)驗(yàn)心得!好好學(xué)習(xí)下吧~筆者十年來做過小的嵌入式系統(tǒng),大的電信系統(tǒng)以及
2020-10-22 09:39:40

開關(guān)電源EMI的一些設(shè)計(jì)經(jīng)驗(yàn)

開關(guān)電源EMI的一些設(shè)計(jì)經(jīng)驗(yàn)
2012-08-06 14:13:32

開發(fā)IPTV系統(tǒng)的一些經(jīng)驗(yàn)

的還是電視直播系統(tǒng)。<span]  分享下點(diǎn)量軟件多年在IPTV系統(tǒng)開發(fā)過程中關(guān)于電視直播系統(tǒng)的一些經(jīng)驗(yàn):  1、首先是直播視頻源:IPTV電視直播系統(tǒng)般支持衛(wèi)星源、有線電視源、運(yùn)營商
2018-10-23 18:13:04

我要新書[FPGA經(jīng)驗(yàn)分享]

的芯片。第次接觸FPGA是通過特權(quán)老師的《深入淺出玩轉(zhuǎn)FPGA書,步的操作最終點(diǎn)亮個(gè)LED燈的操作,現(xiàn)對(duì)以前學(xué)習(xí)做個(gè)總結(jié)如下:1. 設(shè)計(jì)開發(fā)流程利用EDA軟件和編程工具通過對(duì)電路
2015-08-31 23:23:36

新人求一些關(guān)于ARM學(xué)習(xí)的一些經(jīng)驗(yàn)

, 之后不知道從何學(xué)起 ,最近買了本C++譚浩強(qiáng)的書 準(zhǔn)備學(xué)下 之后準(zhǔn)備接觸數(shù)據(jù)結(jié)構(gòu)在學(xué)習(xí)ARM, 看了一些經(jīng)驗(yàn)的人發(fā)的帖子 不知道自己應(yīng)該接觸嵌入式硬件還是嵌入式軟件 還有ARM7跟ARM9的一些
2015-06-22 20:06:10

有關(guān)FPGA學(xué)習(xí)的一些資料

有關(guān)FPGA學(xué)習(xí)的一些資料
2015-12-03 22:32:54

有沒有基礎(chǔ)一些FPGA視頻可以分享

感覺FPGA好難啊,想從基礎(chǔ)的入門,怎么學(xué)呢?有簡單,基礎(chǔ)一些的視頻么?
2018-09-01 14:07:51

一些labview FPGA 的教學(xué)資料 最好是視頻

一些labview FPGA的教學(xué)資料 最好是視頻。
2017-05-02 14:59:36

求大佬分享一些System Verilog的學(xué)習(xí)經(jīng)驗(yàn)

求大佬分享一些System Verilog的學(xué)習(xí)經(jīng)驗(yàn)
2021-06-21 06:29:54

求大佬分享一些印制電路板設(shè)計(jì)經(jīng)驗(yàn)

求大佬分享一些印制電路板設(shè)計(jì)經(jīng)驗(yàn)
2021-04-26 06:08:22

求大佬分享伺服調(diào)試一些應(yīng)用總結(jié)

求大佬分享伺服調(diào)試一些應(yīng)用總結(jié)
2021-11-15 06:25:10

求大佬分享關(guān)于安裝IC617的一些經(jīng)驗(yàn)

求大佬分享關(guān)于安裝IC617的一些經(jīng)驗(yàn)
2021-06-18 07:01:37

求大神分享一些關(guān)于FPGA設(shè)計(jì)的學(xué)習(xí)經(jīng)驗(yàn)

請(qǐng)求大神分享一些關(guān)于FPGA設(shè)計(jì)的學(xué)習(xí)經(jīng)驗(yàn)
2021-04-15 06:47:08

求大神分享一些關(guān)于PCB電路板設(shè)計(jì)經(jīng)驗(yàn)

求大神分享一些關(guān)于PCB電路板設(shè)計(jì)經(jīng)驗(yàn)
2021-04-23 06:27:23

求大神分享一些關(guān)于安裝IC617的經(jīng)驗(yàn)

求大神分享一些關(guān)于安裝IC617的經(jīng)驗(yàn)
2021-06-23 14:23:41

求大神分享一些應(yīng)聘模擬IC工程師的經(jīng)驗(yàn)

求大神分享一些應(yīng)聘模擬IC工程師的經(jīng)驗(yàn)
2021-06-24 06:14:08

求大神分享一些智能車設(shè)計(jì)經(jīng)驗(yàn)

實(shí)用的經(jīng)驗(yàn)。.首先是硬件的準(zhǔn)備工作:1.硬件部分與要自己設(shè)計(jì)需要的電路制成pcb。推薦使用Altium Designer20,AD比較常用所以有多實(shí)用的教學(xué)視頻,另外需要自己了解布線規(guī)則,包括信號(hào)線,電源線常用的寬度一些電源電路,驅(qū)動(dòng)電路建議用multisim仿真,沒有問題再進(jìn)行制版單片機(jī)有關(guān)的需要仿真
2021-12-10 06:40:32

求大神分享一些模擬應(yīng)用設(shè)計(jì)的經(jīng)驗(yàn)

求大神分享一些模擬應(yīng)用設(shè)計(jì)的經(jīng)驗(yàn)
2021-04-21 06:07:19

深度無盤多配置一些經(jīng)驗(yàn)心得

`深度無盤多配置一些經(jīng)驗(yàn)心得 在論壇上看到有不少兄弟在討論說做無盤的多配置問題,看到有不少兄弟說喜歡做成單包多配置,也有說做成多包的比較好??傊蠹叶加凶约旱目捶?,也蠻有道理的。我今天就是談下自
2011-07-19 09:22:08

電容使用的一些經(jīng)驗(yàn)及誤區(qū)

一些經(jīng)驗(yàn):在電路中不能確定線路的極性時(shí),建議使用無極電解電容。通過電解電容的紋波電流不能超過其充許范圍。如超過了規(guī)定值,需選用耐大紋波電流的電容。電容的工作電壓不能超過其額定電壓。在進(jìn)行電容的焊接
2015-11-02 16:43:13

請(qǐng)教一些C6747的問題

,DSP1到DSP2采用McASP接口。兩片DSP都外接128M的SDRAM。想詢問一些細(xì)節(jié)問題:問題1:C6747的EMIFA和EMIFB可不可以同時(shí)工作,例如,EMIFA接口接收數(shù)據(jù)的同時(shí),把接受到
2018-07-25 07:49:42

通過串口調(diào)試助手輸入命令運(yùn)行一些調(diào)試函數(shù)

對(duì)于做linux開發(fā)的研發(fā)人員來說,大家都喜歡通過輸入指令符來執(zhí)行一些命令操作,如果在MCU編程過程中有個(gè)類似linux的shell命令工具可以通過串口調(diào)試助手輸入命令然后運(yùn)行一些調(diào)試函數(shù),將會(huì)
2021-11-03 08:56:26

需要注意的一些FPGA學(xué)習(xí)誤區(qū)【經(jīng)驗(yàn)貼】

`需要注意的一些FPGA學(xué)習(xí)誤區(qū) 1、不熟悉 FPGA的內(nèi)部結(jié)構(gòu),不了解可編程邏輯器件的基本原理。 2、錯(cuò)誤理解 HDL語言,怎么看都看不出硬件結(jié)構(gòu)。3、FPGA本身不算什么, 切皆在FPGA之外
2012-02-27 15:10:40

EasyGo FPGA Coder Block

概述EasyGo FPGA Coder Block是嵌入Matlab/Simulink里面的FPGA 仿真工具包軟件。提供了一些基礎(chǔ)的函數(shù)庫以及常用的控制函數(shù)模塊,配合
2022-05-19 09:16:05

100條FPGA經(jīng)驗(yàn)

100條FPGA經(jīng)驗(yàn) 非常值得收藏 100條FPGA經(jīng)驗(yàn) 非常值得收藏
2015-11-11 17:01:1122

#FPGA 給新手推薦一些FPGA上手項(xiàng)目

fpga
奔跑的小鑫發(fā)布于 2023-07-24 08:54:52

KEIL調(diào)試經(jīng)驗(yàn)與技巧分享

KEIL調(diào)試方法,到底該怎么使用這些方法呢?這篇文章將介紹個(gè)人的調(diào)試經(jīng)驗(yàn)。
2020-09-21 09:58:175910

FPGA設(shè)計(jì)與調(diào)試教程說明

FPGA概述FPGA調(diào)試介紹調(diào)試挑戰(zhàn)設(shè)計(jì)流程概述■FPGA調(diào)試方法概述嵌入式邏輯分析儀外部測試設(shè)備■使用 FPGAVIEW改善外部測試設(shè)備方法■FPGA中高速O的信號(hào)完整性測試和分析
2020-09-22 17:43:219

程序調(diào)試經(jīng)驗(yàn) for MCU

程序調(diào)試經(jīng)驗(yàn) for 瑞薩R7F0C004
2021-10-25 13:51:0712

升壓變流器的幾點(diǎn)調(diào)試經(jīng)驗(yàn)

升壓變流器的幾點(diǎn)調(diào)試經(jīng)驗(yàn)
2022-11-02 08:16:050

已全部加載完成