電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>存儲(chǔ)技術(shù)>Altera的FPGA與Micron混合內(nèi)存立方實(shí)現(xiàn)互操作,共同引領(lǐng)業(yè)界

Altera的FPGA與Micron混合內(nèi)存立方實(shí)現(xiàn)互操作,共同引領(lǐng)業(yè)界

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

怎樣為自己的設(shè)計(jì)選擇Altera Cyclone V FPGA?

Altera的Cyclone V FPGA目前是業(yè)界系統(tǒng)總成本和功耗最低的FPGA,那我們?cè)撛鯓訛樽约旱脑O(shè)計(jì)選擇Altera Cyclone V FPGA?參考本文...
2013-02-26 10:34:362469

Altera發(fā)布首款28nm FPGA開發(fā)套件

Altera公司日前宣布開始提供第一款帶有28-nm FPGA的開發(fā)套件——Stratix V GX FPGA信號(hào)完整性套件,在推動(dòng)業(yè)界28-nm FPGA發(fā)展方面樹立了新里程碑。
2011-09-08 09:03:08726

Altera推出全系列28nm FPGA產(chǎn)品

Altera公司宣佈開始量產(chǎn)出貨28nm FPGA產(chǎn)品系列所有的叁個(gè)產(chǎn)品,包括Stratix V、Arria V與Cyclone V元件。Altera 最新推出的是它的低成本、低功率消耗產(chǎn)品系列中容量最大的Cyclone V FPGA,為業(yè)界
2012-09-06 09:02:151535

Altera推出業(yè)界帶寬最大的28nm中端FPGA

電子發(fā)燒友網(wǎng)訊 :Arria V GZ拓展了Altera中端FPGA系列,滿足廣播和通信系統(tǒng)日益增長的帶寬需求。隨著Arria V GZ型號(hào)的推出,進(jìn)一步拓展了公司的28 nm系列產(chǎn)品。 Altera公司 (NASDAQ: ALTR)
2012-10-16 12:53:181298

Altera CEO:Altera 2012年FPGA的變革所在?

Altera fpga在2012年的變革所在:繼續(xù)在28nm以及后續(xù)節(jié)點(diǎn)與代工線伙伴合作,不斷在工藝、器件和電路上實(shí)現(xiàn)創(chuàng)新,保持我們?cè)诠杵碗娐飞系募夹g(shù)領(lǐng)先優(yōu)勢...
2013-01-21 15:19:50940

突出靈活與性能優(yōu)勢,Altera展示業(yè)界最全面28nm FPGA器件

Altera公司于2013年1月22日在北京演示目前業(yè)界最全面的28nmFPGA器件系列產(chǎn)品(包括 Stratix V,Arria V,Cyclone V,SoC FPGA)所提供的靈活性與性能
2013-01-23 09:04:18971

全面降低系統(tǒng)功耗 Altera業(yè)界首款低功耗28nm FPGA

Altera推出業(yè)界唯一投產(chǎn)的低功耗28 nm Cyclone? V GT FPGA,幫助開發(fā)人員降低了PCIe Gen2應(yīng)用的系統(tǒng)總成本,并全面通過了PCI Express? (PCIe?) 2.0規(guī)范的兼容性測試。
2013-03-19 12:37:392139

Altera展示業(yè)界第一款QPI 1.1 FPGA本地代理,增強(qiáng)了服務(wù)器功能

Altera公司今天宣布,在業(yè)界首次展示Intel QuickPath互聯(lián)(QPI)協(xié)議1.1支持的FPGA本地代理(Home Agent)。
2013-04-11 11:35:361269

簡談Altera和Xilinx的FPGA區(qū)別

大家好,又到了每日學(xué)習(xí)的時(shí)間了,最近有很多人再問我學(xué)習(xí)FPGA到底是選擇Altera的還是xilinx的呢,于是我就苦口婆心的說了一大堆,中心思想大概就是,學(xué)習(xí)FPGA一定要學(xué)習(xí)FPGA的設(shè)計(jì)思想
2018-05-29 10:41:2845675

FPGA程序中內(nèi)存實(shí)現(xiàn)方式

?一個(gè)卷積操作占用的內(nèi)存 2. PipeCNN可實(shí)現(xiàn)性 ??? PipeCNN論文解析:用OpenCL實(shí)現(xiàn)FPGA上的大型卷積網(wǎng)絡(luò)加速 ? ? 2.1?已實(shí)現(xiàn)的PipeCNN資源消耗 3. 實(shí)現(xiàn)大型神經(jīng)網(wǎng)絡(luò)
2022-07-10 09:24:451672

12V直流輸入電壓的混合存儲(chǔ)立方體第2代電源設(shè)計(jì)包括BOM及層圖

描述PMP20080 是用于操作 5 條輸出軌的強(qiáng)大設(shè)計(jì),可應(yīng)用到混合內(nèi)存立方體。此參考設(shè)計(jì)將 5 個(gè)同步降壓與多個(gè)不同的控制器結(jié)合使用,可實(shí)現(xiàn)一組經(jīng)過良好調(diào)節(jié)的輸出。此外,PMP20080 還支持
2018-09-27 08:39:18

ALTERA FPGA 配置芯片剩余空間使用的問題

想把altera fpga配置芯片剩余的存儲(chǔ)空間作為其他數(shù)據(jù)的存儲(chǔ)空間用,可以嗎?如果行,該如何操作了呢?
2013-03-04 19:49:06

Altera FPGA/CPLD經(jīng)典教材

Altera FPGA/CPLD設(shè)計(jì)與Verilog數(shù)字系統(tǒng)設(shè)計(jì)教程從網(wǎng)上找到了一些Altera FPGA/CPLD經(jīng)典教材,包含夏宇聞老師的Verilog數(shù)字系統(tǒng)設(shè)計(jì)教程(第2版)Altera FPGA/CPLD設(shè)計(jì)與Verilog數(shù)字系統(tǒng)設(shè)計(jì)教程
2014-02-17 09:22:18

Altera FPGA應(yīng)用創(chuàng)新博文大賽開始了!千元購物卡等你拿!

小石頭211【Altera博客大賽】 異步SRAM的FPGA讀寫操作小石頭211【Altera博客大賽】IIC總線的FPGA實(shí)現(xiàn)之總結(jié)南盜【Altera博客大賽】+dsp讀寫fpgaMCU學(xué)習(xí)
2013-11-21 15:58:50

Altera內(nèi)存解決方案

支持多種存儲(chǔ)器接口,適用于路由器和切換到攝像機(jī)。您可以使用內(nèi)存輕松實(shí)現(xiàn)Altera的知識(shí)產(chǎn)權(quán)(IP)MegaCore通過Quartus Prime軟件運(yùn)行。e Quartus Prime軟件還提供外部
2023-09-26 07:38:12

Altera率先交付高性能28nm FPGA量產(chǎn)芯片

Altera公司近期宣布,開始交付業(yè)界第一款高性能28-nm FPGA量產(chǎn)芯片。Stratix V FPGA是唯一使用TSMC 28HP工藝制造的FPGA,比競爭解決方案高出一個(gè)速率等級(jí)
2012-05-14 12:38:53

INTEL的fpgaALTERA)和XILINX fpga的發(fā)展現(xiàn)狀如何,學(xué)那個(gè)更好

各為大神元老,我想學(xué)習(xí)FPGA,但是了解到當(dāng)下ALTERAFPGA資料和教材相對(duì)較多,操作簡單好入門,但是據(jù)我了解,各大企業(yè)真正的運(yùn)用中,XILINX的FPGA用的比較多,INTEL收購
2017-10-24 23:12:07

什么是Altera最新28nm FPGA技術(shù)?

1月22日,Altera 在北京展示了號(hào)稱業(yè)界最全面的28nm 最新技術(shù)及強(qiáng)大解決方案。Altera公司的多位工程師為在京的媒體人士進(jìn)行了講解。
2019-08-21 07:37:32

什么是操作?

操作概述空閑態(tài)移動(dòng)性
2021-02-25 06:05:47

令人側(cè)目的Altera 28nm FPGA芯片?

芯片市場優(yōu)勢  Altera 28nm Stratix V FPGA在高端應(yīng)用市場中實(shí)現(xiàn)業(yè)界最大帶寬和最高系統(tǒng)集成度, 非常靈活,降低了成本和總功耗。對(duì)于大批量產(chǎn)品,采用Stratix V FPGA
2012-09-21 13:49:05

分享一款不錯(cuò)的基于Altera FPGA的千兆以太網(wǎng)實(shí)現(xiàn)方案

千兆以太網(wǎng)技術(shù)是什么基于Altera FPGA的千兆以太網(wǎng)實(shí)現(xiàn)方案
2021-05-08 07:32:49

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載52:Altera FPGA配置方式之AS/PS/JTAG配置方式

/s/1i5LMUUD AS配置方式由FPGA器件引導(dǎo)配置操作過程,它控制著外部存儲(chǔ)器及其初始化過程,EPCS系列配置芯片如EPCS1、EPCS4配置器件專供AS模式。使用Altera串行配置器件來完成
2018-03-05 16:30:35

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載5: Altera、Xilinx和Lattice

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載5: Altera、Xilinx和Lattice特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s
2017-09-27 19:15:53

基于Altera FPGA的IP碎片重組模塊實(shí)現(xiàn)

基于Altera FPGA的IP碎片重組模塊實(shí)現(xiàn) window.google_render_ad(); Implementation&
2008-10-07 11:01:03

基于Altera FPGA的IP碎片重組模塊實(shí)現(xiàn)

是IDS/IPS中一個(gè)必不可少的操作。由于采用軟件實(shí)現(xiàn)IP碎片重組的速度很低,很難達(dá)到高速接口的線速處理要求,所以在高速IDS/IPS上應(yīng)采用硬件處理的機(jī)制。本文實(shí)現(xiàn)了一個(gè)基于Altera FPGA的IP
2008-10-07 11:00:19

推薦ALTERAFPGA電源器件選型手冊(cè)

推薦ALTERAFPGA電源器件選型手冊(cè)Power Management Reference Guide for Altera? FPGAs and CPLDsThis information
2009-12-17 15:21:27

智能電網(wǎng)中的三個(gè)操作架構(gòu)遠(yuǎn)景是什么?

智能電網(wǎng)中的三個(gè)操作架構(gòu)遠(yuǎn)景是什么?
2021-05-21 06:10:25

Altera公司用FPGA做DSP算法的工具

Altera公司用FPGA做DSP算法的工具
2006-03-25 13:46:4539

Altera FPGA/CPLD設(shè)計(jì)(高級(jí)篇)

Altera FPGA/CPLD設(shè)計(jì)(高級(jí)篇)》結(jié)合作者多年工作經(jīng)驗(yàn),深入地討論了Altera FPGA/CPLD的設(shè)計(jì)、優(yōu)化技巧。在討論FPGA/CPLD設(shè)計(jì)指導(dǎo)原則的基礎(chǔ)上,介紹了Altera器件的高級(jí)應(yīng)用;引領(lǐng)讀者
2009-02-12 09:19:124799

Altera FPGA芯片的封裝尺寸選擇指南

Altera FPGA芯片的封裝尺寸選擇指南
2009-03-28 14:48:06351

EPM570T144C5N ALTERA 阿爾特拉 FPGA現(xiàn)場可編程邏輯器件

EPM570T144C5N ALTERA 阿爾特拉 FPGA現(xiàn)場可編程邏輯器件 
2022-08-04 10:09:21

altera fpga/cpld設(shè)計(jì)

altera fpga/cpld設(shè)計(jì) 基礎(chǔ)篇結(jié)合作者多年工作經(jīng)驗(yàn),系統(tǒng)地介紹了FPGA/CPLD的基本設(shè)計(jì)方法。在介紹FPGA/CPLD概念的基礎(chǔ)上,介紹了Altera主流FPGA/CPLD的結(jié)構(gòu)與特點(diǎn),并通過豐富的實(shí)例講解
2009-07-10 17:35:4557

ALTERAFPGA電源器件選型手冊(cè)[英文]

ALTERAFPGA電源器件選型手冊(cè)[英文]
2009-08-08 15:39:1378

簡化Xilinx和Altera FPGA調(diào)試過程

簡化Xilinx和Altera FPGA調(diào)試過程:通過FPGAViewTM 解決方案,如混合信號(hào)示波器(MSO)和邏輯分析儀,您可以在Xilinx 和Altera FPGA 內(nèi)部迅速移動(dòng)探點(diǎn),而無需重新編譯設(shè)計(jì)方案。能夠把內(nèi)部FPGA
2009-11-20 17:46:2626

EP4CE10F17C8N,Cyclone IV FPGA設(shè)備,INTEL/ALTERA

/ALTERAEP4CE10F17C8N,Cyclone IV FPGA設(shè)備,INTEL/ALTERAEP4CE10F17C8N,Cyclone IV FPGA設(shè)備,INTEL/ALTERA&nbs
2023-02-20 17:00:57

EP4CE10F17I7N,Cyclone IV FPGA設(shè)備,INTEL/ALTERA

/ALTERAEP4CE10F17I7N,Cyclone IV FPGA設(shè)備,INTEL/ALTERAEP4CE10F17I7N,Cyclone IV FPGA設(shè)備,INTEL/ALTERA&nbs
2023-02-20 17:03:19

EP4CE6F17C8N ,Cyclone IV FPGA設(shè)備,INTEL/ALTERA

/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA設(shè)備,INTEL/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA設(shè)備,INTEL/ALTERA&nbs
2023-02-20 17:05:47

基于Altera FPGA的千兆以太網(wǎng)實(shí)現(xiàn)方案

網(wǎng)絡(luò)正在成為當(dāng)今社會(huì)通信的骨干力量,現(xiàn)代化的設(shè)備迫切需要解決如何簡捷高速的接入問題,描述了基于FPGA的嵌入式技術(shù)。利用Altera公司的千兆以太網(wǎng)IP核,簡要介紹使用Altera的Qu
2010-12-23 16:28:5850

Dini推出業(yè)界容量最大的基于Altera Stratix

Dini推出業(yè)界容量最大的基于Altera Stratix III器件的ASIC Altera公司日前宣布,Dini集團(tuán)在其業(yè)界容量最大的單板FPGA原型引擎中采用了具有340K邏輯單元(LE)的Strati
2008-11-12 10:36:25444

Altera新Cyclone IV FPGA拓展了Cyclo

Altera新Cyclone IV FPGA拓展了Cyclone FPGA系列 Altera拓展其成功的Cyclone FPGA系列并延續(xù)其收發(fā)器技術(shù)領(lǐng)先優(yōu)勢,于今天發(fā)布Cyclone IV FPGA新系列。在移動(dòng)視頻
2009-11-04 08:46:381221

Altera發(fā)布Quartus II軟件9.1,延續(xù)了2到3

Altera發(fā)布Quartus II軟件9.1,延續(xù)了2到3倍的編譯時(shí)間優(yōu)勢 Altera公司宣布推出QuartusII軟件9.1——在CPLD、FPGA和HardCopy ASIC設(shè)計(jì)方面,業(yè)界性能和效能最好的軟件。與以前的軟
2009-11-05 09:42:59958

Altera開始量產(chǎn)發(fā)售業(yè)界首款集成11.3-Gbps收發(fā)器

Altera開始量產(chǎn)發(fā)售業(yè)界首款集成11.3-Gbps收發(fā)器的FPGA Altera公司宣布,開始量產(chǎn)發(fā)售Stratix IV GT EP4S100G2 FPGA,這是業(yè)界首款集成了11.3-Gbps收發(fā)器的FPGA。Stratix IV GT FPGA是目前
2009-11-05 09:47:42615

Altera推出業(yè)界密度最大的Stratix IV EP4S

Altera推出業(yè)界密度最大的Stratix IV EP4SE820 FPGA Altera宣布,40-nm Stratix IV E FPGA高端密度范圍增大到業(yè)界領(lǐng)先的820K邏輯單元(LE)。Stratix IV EP4SE820 FPGA業(yè)界同類產(chǎn)品中密度最大
2009-11-11 16:50:00838

Altera Stratix IV FPGA助推XDI db

Altera Stratix IV FPGA助推XDI dbX分析平臺(tái) Altera公司日前宣布,XtremeData有限公司下一代dbX系列數(shù)據(jù)庫平臺(tái)設(shè)計(jì)采用了高性能Stratix IV FPGA,該系列專門用于對(duì)大型數(shù)據(jù)庫進(jìn)行全
2009-12-04 08:37:02664

Altera推出面向Stratix IV FPGA的最新開發(fā)

Altera推出面向Stratix IV FPGA的最新開發(fā)套件 Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新開發(fā)套件。Stratix IV E FPGA 開發(fā)套件具有業(yè)界最高密度、最高性能的 FPGA。該套
2009-12-09 08:45:26792

Altera推出具有530K邏輯元件FPGA 的開發(fā)套件

Altera推出具有530K邏輯元件FPGA 的開發(fā)套件 ? Altera 公司 (NASDAQ: ALTR) 今天宣布推出其面向 Stratix? IV FPGA 的最新開發(fā)套件。Stratix IV E FPGA 開發(fā)套件具有業(yè)界最高密度、
2009-12-10 17:08:09638

基于Altera FPGA的千兆以太網(wǎng)實(shí)現(xiàn)方案

基于Altera FPGA的千兆以太網(wǎng)實(shí)現(xiàn)方案 1 引言   在系統(tǒng)設(shè)備不斷向小型化、集成化、網(wǎng)絡(luò)化發(fā)展的今天,嵌入式開發(fā)成為新技術(shù)發(fā)展的最前沿,改變著系統(tǒng)的整
2009-12-26 09:10:324141

FPGA實(shí)現(xiàn)與40G QSFP光學(xué)模塊的互操作

FPGA實(shí)現(xiàn)與40G QSFP光學(xué)模塊的互操作性          Altera 公司 宣布其Stratix IV GT FPGA 實(shí)現(xiàn)了與Avago公司 的 40G 四通道小型可插
2010-04-02 10:49:212145

Altera推出業(yè)界帶寬最大的28nm Stratix V

Altera推出業(yè)界帶寬最大的28nm Stratix V FPGA Altera公司近日發(fā)布業(yè)界帶寬最大的FPGA——下一代28-nm Stratix V FPGA。Stratix V FPGA具有1.6 Tbps串行交換能力,采用各種創(chuàng)新技術(shù)和前沿28-n
2010-04-22 10:39:54677

Altera業(yè)界首款集成增強(qiáng)前向糾錯(cuò)(EFEC) IP內(nèi)核

Altera公司日前宣布,開始提供業(yè)界第一款集成增強(qiáng)前向糾錯(cuò)(EFEC) IP內(nèi)核,該內(nèi)核針對(duì)高性能Stratix IV和Stratix V系列FPGA進(jìn)行了優(yōu)化。
2011-03-09 09:42:311054

Altera、Apical和AltaSens聯(lián)合發(fā)布業(yè)界首款HD寬動(dòng)態(tài)范

Altera公司 (NASDAQ: ALTR)、Apical有限公司 (UK)以及AltaSens有限公司今天宣布,開始提供業(yè)界第一款HD WDR視頻監(jiān)控芯片組。這一獨(dú)特的芯片組結(jié)合了Altera Cyclone IV E FPGA和安全芯片
2011-04-07 09:21:061260

Altera發(fā)售業(yè)界最復(fù)雜半導(dǎo)體 Stratix V FPGA

Stratix V FPGA是目前業(yè)界發(fā)售的最復(fù)雜的半導(dǎo)體。它是利用TSMC的28-nm高性能(28HP)工藝來提高性能和帶寬的唯一FPGA。28HP工藝結(jié)合最優(yōu)FPGA設(shè)計(jì)
2011-04-20 09:02:49488

Altera推出業(yè)界首款基于MIPS的FPGA軟核處理器

Altera公司 (NASDAQ: ALTR)、MIPS科技公司(NASDAQ: MIPS) 以及System Level Solutions (SLS) 公司今天推出了MIPS-Based?、FPGA優(yōu)化軟核處理器,適用于Altera FPGA以及ASIC器件。
2011-06-01 09:35:53990

Altera推出業(yè)界第一款基于模型的FPGA浮點(diǎn)DSP工具

Altera公司日前演示了使用FPGA的浮點(diǎn)DSP新設(shè)計(jì)流程,這是業(yè)界第一款基于模型的浮點(diǎn)設(shè)計(jì)工具,支持在FPGA實(shí)現(xiàn)復(fù)數(shù)浮點(diǎn)DSP算法。伯克萊設(shè)計(jì)技術(shù)公司 (Berkeley Design Technology, Inc, BDTI) 進(jìn)行
2011-09-15 08:48:58898

Altera演示業(yè)界首款FPGA的浮點(diǎn)DSP設(shè)計(jì)流程

Altera公司日前演示了使用FPGA的浮點(diǎn)DSP新設(shè)計(jì)流程,這是業(yè)界第一款基于模型的浮點(diǎn)設(shè)計(jì)工具,支持在FPGA實(shí)現(xiàn)復(fù)數(shù)浮點(diǎn)DSP算法。
2011-09-15 09:07:10613

Altera發(fā)布FPGA業(yè)界第一款SoC FPGA軟件開發(fā)虛擬目標(biāo)平臺(tái)

Altera公司(Nasdaq: ALTR)今天宣布可以提供FPGA業(yè)界的第一個(gè)虛擬目標(biāo)平臺(tái),支持面向Altera最新發(fā)布的SoC FPGA器件立即開始器件專用嵌入式軟件的開發(fā)。在Synopsys有限公司成熟的虛擬原型開發(fā)解
2011-10-13 09:15:28678

Altera發(fā)布業(yè)界第一個(gè)面向FPGA的OpenCL計(jì)劃

Altera公司(NASDAQ: ALTR)今天發(fā)布FPGA和SoC FPGA的開放計(jì)算語言(OpenCL?)標(biāo)準(zhǔn)開發(fā)計(jì)劃。OpenCL標(biāo)準(zhǔn)是基于C語言的開放標(biāo)準(zhǔn),適用于并行編程。Altera的OpenCL計(jì)劃結(jié)合了FPGA的并行能力以及OpenCL標(biāo)準(zhǔn)
2011-11-16 16:12:08599

美光將利用IBM 3D制程制造首顆商用內(nèi)存芯片

近日,IBM表示促使美光(Micron)的混合式記憶體立方體(Hybrid Memory Cube)在不久成為第一顆采用3D制程的商用芯片。
2011-12-01 09:09:00725

Altera率先實(shí)現(xiàn)Stratix V GX FPGA與PCIe Gen3交換機(jī)互操作

Altera公司(Nasdaq: ALTR)宣布,成功實(shí)現(xiàn)28-nm Stratix? V GX FPGA與PLX?技術(shù)公司(Nasdaq: PLXT) ExpressLane? PCI Express? (PCIe?) Gen3的互操作
2011-12-14 09:28:09677

Altera首次演示FPGA與100Gbps光模塊的互操作

2012年2月23號(hào),北京——Altera公司(NASDAQ:ALTR)今天宣布,使用28-nm Stratix? V GT FPGA成功演示了與100-Gbps光模塊的互操作性,從而支持實(shí)現(xiàn)下一代100-Gbps網(wǎng)絡(luò)。
2012-02-24 08:41:251240

Altera首次演示FPGA與100-Gbps光模塊的互操作

Altera Stratix V GT器件是業(yè)界唯一采用28-Gbps收發(fā)器技術(shù)的FPGA,支持實(shí)現(xiàn)下一代100-Gbps網(wǎng)絡(luò)
2012-02-27 09:55:04773

Altera Stratix V FPGA實(shí)現(xiàn)業(yè)界第一款單芯片雙路100G轉(zhuǎn)發(fā)器

Altera公司(NASDAQ: ALTR)今天宣布,在28-nm高性能Stratix? V FPGA實(shí)現(xiàn)業(yè)界第一個(gè)單芯片雙路100G轉(zhuǎn)發(fā)器解決方案。
2012-03-05 09:40:11665

FPGA兩難問題 混合系統(tǒng)架構(gòu)來解決

在新的半導(dǎo)體制造工藝中,FPGA通常是最先被采用、驗(yàn)證和優(yōu)化該工藝的器件之一。Altera公司資深副總裁,首席技術(shù)官M(fèi)isha Burich認(rèn)為,目前業(yè)界正面臨著靈活性和效率的兩難選擇,集成微
2012-05-31 09:27:10929

Altera發(fā)售業(yè)界性能最好、具有背板功能的收發(fā)器Stratix V FPGA

Altera公司(Nasdaq: ALTR)今天宣布,開始批量發(fā)售FPGA業(yè)界性能最好、具有背板功能的收發(fā)器。
2012-08-03 09:38:03865

Altera FPGA的選型及開發(fā)

本資料是關(guān)于Altera FPGA的選型及開發(fā),內(nèi)容大綱是:AlteraFPGA體系結(jié)構(gòu)簡介;AlteraFPGA選型策略;嵌入式邏輯分析工具SignalTAPII的使用;基于CPLD的FPGA配制方法。
2012-08-15 14:48:34103

Altera公司SoC FPGA 簡介

本文是關(guān)于Altera公司SoC FPGA 的用戶手冊(cè)(英文版) 。文中主要介紹了什么是SoC FPGA、SoC FPGA相關(guān)知識(shí)介紹、為什么要使用SoC FPGA以及SoC FPGA都應(yīng)用到哪些方面。
2012-09-05 14:03:08153

Altera榮獲CEN的2012年度FPGA技術(shù)創(chuàng)新獎(jiǎng)

Altera公司 近日宣布,公司榮獲了中國電子報(bào)(CEN)的2012年度FPGA創(chuàng)新技術(shù)獎(jiǎng)。2012年8月17號(hào)在成都舉行的年度FPGA行業(yè)發(fā)展論壇上,Altera被授予該獎(jiǎng)項(xiàng)。該獎(jiǎng)項(xiàng)是對(duì)Altera FPGA技術(shù)及其業(yè)界領(lǐng)
2012-09-21 11:02:081185

面向Altera FPGA的OpenCL:提高性能和設(shè)計(jì)效能

開放計(jì)算語言(OpenCL)編程模型與Altera的并行FPGA體系結(jié)構(gòu)相結(jié)合,實(shí)現(xiàn)了功能強(qiáng)大的系統(tǒng)加速解決方案。面向OpenCL的Altera SDK為您提供了設(shè)計(jì)環(huán)境,工程師很容易在FPGA實(shí)現(xiàn)OpenCL應(yīng)用。
2012-11-06 14:56:421091

altera FPGA/CPLD高級(jí)篇(VHDL源代碼)

altera FPGA/CPLD高級(jí)篇(VHDL源代碼)
2012-11-13 14:40:38134

Altera Quartus II軟件v13.0支持實(shí)現(xiàn)世界上最快的FPGA設(shè)計(jì)

Altera公司 (NASDAQ: ALTR)今天宣布推出Quartus? II軟件13.0版,這一軟件實(shí)現(xiàn)了性能最好的FPGA和SoC,提高了設(shè)計(jì)人員的效能。28 nm FPGA和SoC用戶的編譯
2013-05-07 14:30:303639

Mouser提供業(yè)界領(lǐng)先的Altera Cyclone V低功耗FPGA

Mouser Electronics正在備貨Altera公司業(yè)界領(lǐng)先的28-nm Cyclone? V FPGA。 Cyclone V FPGA結(jié)合了高性能、業(yè)界最低的操作功耗以及系統(tǒng)成本,是工業(yè)、無線、有線、廣播和汽車應(yīng)用的理想選擇。
2013-05-21 16:15:031103

Altera FPGA_CPLD設(shè)計(jì)(實(shí)例源代碼)

Altera FPGA_CPLD設(shè)計(jì)(實(shí)例源代碼)
2013-09-09 16:09:23442

Altera開始量售FPGA業(yè)界性能最高的SoC

級(jí)Cyclone V SoC達(dá)到了925 MHz,汽車級(jí)達(dá)到了700 MHz,工業(yè)級(jí)Arria V SoC達(dá)到了1.05 GHz,在FPGA業(yè)界,這些器件成為性能最高的SoC。Altera SoC為嵌入式開發(fā)人員提供了最可靠的體系結(jié)構(gòu)、效能最高的開發(fā)工具以及密度最全的系列產(chǎn)品。
2013-09-26 17:48:23993

Altera面向OpenCL的SDK是FPGA業(yè)界首個(gè)實(shí)現(xiàn)Khronos標(biāo)準(zhǔn)

產(chǎn)品名錄。Altera是唯一能夠提供FPGA最優(yōu)OpenCL解決方案的公司,支持軟件開發(fā)人員充分利用FPGA大規(guī)模并行體系結(jié)構(gòu)來實(shí)現(xiàn)系統(tǒng)加速。Altera將在10月16-17日在加州圣克拉拉舉辦的2013 Linley處理器大會(huì)上演示其OpenCL解決方案。
2013-10-17 15:55:071174

Altera出色的FPGA和SoC創(chuàng)新受DesignCon表彰

Altera的14 nm Stratix 10 FPGA和SoC以及ARM DS-5 Altera版SoC工具包贏得兩項(xiàng)2014設(shè)計(jì)創(chuàng)意獎(jiǎng)
2014-02-10 09:50:15821

Altera與風(fēng)河公司建立戰(zhàn)略合作關(guān)系 為Altera SoC平臺(tái)定制操作系統(tǒng)和開發(fā)工具

Altera公司與Wind River?風(fēng)河公司今天宣布,雙方建立戰(zhàn)略合作關(guān)系,為Altera的SoC FPGA器件開發(fā)并部署工具和解決方案。 風(fēng)河公司業(yè)界領(lǐng)先的操作系統(tǒng)和開發(fā)工具支持Altera基于多核ARM?處理器的SoC平臺(tái)。
2014-02-10 17:38:40914

Altera和IBM發(fā)布具有一致性共享存儲(chǔ)器的FPGA加速POWER系統(tǒng)

2014年11月18號(hào),北京——Altera公司(Nasdaq: ALTR)和IBM (NYSE: IBM)今天發(fā)布了業(yè)界第一款基于FPGA的加速平臺(tái),通過IBM的一致性加速器處理器接口(CAPI),實(shí)現(xiàn)FPGA與POWER8 CPU順暢的連接。
2014-11-19 16:12:491295

Altera SoC FPGA開發(fā)者論壇直擊系統(tǒng)設(shè)計(jì)難題

Altera全球SoC FPGA開發(fā)者論壇活動(dòng)在深圳成功舉行,Altera合作伙伴、FPGA開發(fā)者和工程師匯聚一堂,共同關(guān)注使用基于ARM的SoC FPGA中的精細(xì)粒度異構(gòu)計(jì)算技術(shù),在滿足下一代嵌入式計(jì)算應(yīng)用需求中,如何解決系統(tǒng)設(shè)計(jì)的難題。
2015-11-13 17:38:161927

開源硬件-PMP20080.3-12V 直流輸入電壓、混合存儲(chǔ)立方體 (HMC)第 2 代電源 PCB layout 設(shè)計(jì)

PMP20080 是用于操作 5 條輸出軌的強(qiáng)大設(shè)計(jì),可應(yīng)用到混合內(nèi)存立方體。此參考設(shè)計(jì)將 5 個(gè)同步降壓與多個(gè)不同的控制器結(jié)合使用,可實(shí)現(xiàn)一組經(jīng)過良好調(diào)節(jié)的輸出。此外,PMP20080 還支持隨 PMBus 提供的可配置性。PMP20080 參考設(shè)計(jì)是完全使用標(biāo)準(zhǔn)組件設(shè)計(jì)的。
2015-12-11 11:04:2824

Altera_FPGA系統(tǒng)設(shè)計(jì)及應(yīng)用

介紹Altera_FPGA的選型及開發(fā),感興趣的可以看看。
2016-03-28 18:04:5511

5款ALTERA FPGA開發(fā)板原理圖合集

5款ALTERA FPGA開發(fā)板原理圖合集
2017-01-18 20:35:09163

Altera徹底改變基于FPGA的浮點(diǎn)DSP

2014年4月23號(hào),北京Altera公司 (Nasdaq: ALTR) 今天宣布在FPGA浮點(diǎn)DSP性能方面實(shí)現(xiàn)了變革。Altera是第一家在FPGA中集成硬核IEEE 754兼容浮點(diǎn)運(yùn)算功能
2018-02-11 13:34:006954

Altera交付14.0版Quartus II軟件,其編譯時(shí)間業(yè)界最快

2014年7月1號(hào),北京Altera公司(Nasdaq: ALTR)今天發(fā)布Quartus II軟件14.0版FPGA業(yè)界性能和效能首屈一指的軟件。Altera的這一最新版軟件編譯時(shí)間比競爭設(shè)計(jì)工
2018-02-11 13:37:004543

Arria 10混合存儲(chǔ)器立方體控制器演示(2)

Arria 10混合存儲(chǔ)器立方體控制器演示第二部分
2018-06-22 02:59:003197

Xilinx攜手Pico推出業(yè)界首款混合HMC接口,主要針對(duì)All Programmable UltraScale器件

賽靈思公司(Xilinx)和同為混合內(nèi)存立方體聯(lián)盟(HMCC)成員的Pico Computing公司,今天共同宣布攜手推出業(yè)界首款針對(duì)All Programmable UltraScale器件
2018-09-19 16:48:001948

通過Altera FPGA系統(tǒng)實(shí)現(xiàn)FPGA接口的簡化設(shè)計(jì)

許多新式 FPGA 設(shè)計(jì)采用了一些用于控制的嵌入式處理器。一種典型解決方案需要使用諸如 NIOS 等嵌入式軟處理器。另一種解決方案則使用包含一個(gè)內(nèi)置硬處理器的 SoC 器件。圖 1 示出了一個(gè)典型的 Altera FPGA 系統(tǒng),該系統(tǒng)包含處理器和一系列通過 Avalon 內(nèi)存映射 (MM) 總線連接的外設(shè)。
2018-09-27 08:54:003452

Altera推出Quartus II v13.0,支持實(shí)現(xiàn)世界上最快的FPGA設(shè)計(jì)

關(guān)鍵詞:Quartus , FPGA , Stratix 與以前的版本相比,只需要一半的時(shí)間就能實(shí)現(xiàn)業(yè)界性能最好的設(shè)計(jì) Altera公司今天宣布推出Quartus II軟件13.0版,這一軟件實(shí)現(xiàn)
2018-09-25 09:12:01575

Altera推出業(yè)界帶寬最大的28 nm中端FPGA

關(guān)鍵詞:Arria , FPGA Arria V GZ拓展了Altera中端FPGA系列,滿足廣播和通信系統(tǒng)日益增長的帶寬需求 Altera公司今天宣布,隨著Arria V GZ型號(hào)的推出,進(jìn)一步
2018-09-30 00:13:02276

業(yè)界密度最大的Stratix IV EP4SE820 FPGAAltera

關(guān)鍵詞:ALTERA , FPGA , Stratix , 密度 , 業(yè)界 Altera宣布,40-nm Stratix IV E FPGA高端密度范圍增大到業(yè)界領(lǐng)先的820K邏輯單元(LE
2018-10-24 20:40:01419

采用XilinxVirtex-7 FPGA的12.5Gb/s HMC接口演示

觀看業(yè)界首款采用XilinxVirtex?-7 FPGA的12.5 Gb / s混合存儲(chǔ)器立方體(HMC)接口演示。
2018-11-29 06:46:002786

FPGA教程之ALTERA的CPLD與FPGA器件的詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA教程之ALTERA的CPLD與FPGA器件的詳細(xì)資料說明主要內(nèi)容包括了:一、Altera器件一般介紹,二、MAX 7000 系列器件,三、FLEX10K系列器件,四、邊界掃描測試
2019-02-27 17:27:3115

Altera的Stratix? V FPGA業(yè)界唯一能提供14.1 Gbps收發(fā)器帶寬的FPGA

Altera公司日前宣布,開始批量發(fā)售FPGA業(yè)界性能最好、具有背板功能的收發(fā)器。Altera的Stratix? V FPGA業(yè)界唯一能夠提供14.1 Gbps收發(fā)器帶寬的FPGA,也是唯一
2019-05-27 10:33:261467

混合內(nèi)存立方體--高效能內(nèi)存解決方案

賽靈思公司電源和存儲(chǔ)器技術(shù)市場總監(jiān)Tamara Schmitz表示:“客戶現(xiàn)在即能采用業(yè)界唯一出貨的20nm FPGA以及經(jīng)驗(yàn)證的IP核向市場推出15Gb/s HMC設(shè)計(jì)。
2019-07-24 15:03:502068

Altera氣旋V系列FPGA的電源解決方案

Altera氣旋V系列FPGA的電源解決方案
2021-04-22 20:30:257

Altera Arria V系列FPGA的電源解決方案

Altera Arria V系列FPGA的電源解決方案
2021-04-29 08:58:069

Altera Stratix V FPGA的電源解決方案

Altera Stratix V FPGA的電源解決方案
2021-04-29 09:41:475

Altera FPGA CPLD學(xué)習(xí)筆記

Altera FPGA CPLD學(xué)習(xí)筆記(肇慶理士電源技術(shù)有限)-Altera FPGA CPLD學(xué)習(xí)筆記? ? ? ? ? ? ? ? ?
2021-09-18 10:54:4179

立方內(nèi)存數(shù)據(jù)庫管理系統(tǒng)獲鯤鵬Validated認(rèn)證

NEWS 近日,北京快立方科技有限公司快立方內(nèi)存數(shù)據(jù)庫管理系統(tǒng)獲鯤鵬Validated認(rèn)證。北京快立方科技有限公司聯(lián)合鯤鵬計(jì)算產(chǎn)業(yè)生態(tài)重慶中心使用鯤鵬應(yīng)用使能套件BoostKit對(duì)快立方內(nèi)存數(shù)據(jù)庫
2021-11-16 15:57:551378

已全部加載完成