0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于EUV光刻的優(yōu)勢(shì)和應(yīng)用以及所面臨的的困境

lC49_半導(dǎo)體 ? 來源:djl ? 作者:Mark Lapedus ? 2019-09-05 10:12 ? 次閱讀

半導(dǎo)體行業(yè)觀察:極紫外(EUV)光刻技術(shù)正蓄勢(shì)待發(fā),但為了將這項(xiàng)人們期待已久的技術(shù)用于大規(guī)模生產(chǎn),還仍然有一些難題有待解決。

EUV 光刻是在芯片上圖案化微小特征的下一代技術(shù),原本預(yù)期在 2012 年左右投入生產(chǎn)。但這么多年過去了,EUV 不斷延后,從一個(gè)節(jié)點(diǎn)拖到了下一個(gè)節(jié)點(diǎn)。

如今,GlobalFoundries、英特爾三星和臺(tái)積電都在競(jìng)相要在 7nm 和/或 5nm 節(jié)點(diǎn)時(shí)將 EUV 光刻用于大規(guī)模制造(HVM);根據(jù)供應(yīng)商的不同,時(shí)間規(guī)劃在 2018 年到 2020 年之間。此外,美光、三星和 SK 海力士還希望將 EUV 用于生產(chǎn)十幾納米的 DRAM。

但和之前的技術(shù)一樣,要將 EUV 投入大規(guī)模制造,有一些問題還要解決。芯片制造商還必須權(quán)衡各種復(fù)雜的利弊關(guān)系。

基于行業(yè)最新的數(shù)據(jù),這里簡(jiǎn)單給出了當(dāng)今 EUV 所處的狀態(tài)以及其中的一些權(quán)衡:

ASML 正在裝配其第一款具有生產(chǎn)價(jià)值的 EUV 掃描儀,其中使用了人們等待已久的 250W 光源,計(jì)劃將在今年年底前完成。但是,EUV 真正投入大規(guī)模應(yīng)用的時(shí)間還不能確定。

抗蝕劑是指暴露在光中時(shí)可在表面形成圖案的材料。目前抗蝕劑還不能達(dá)到 EUV 的目標(biāo)規(guī)格。雖然這個(gè)規(guī)格可以降低,但吞吐量會(huì)受到打擊。而且有時(shí)候與抗蝕劑的相互作用會(huì)導(dǎo)致工藝發(fā)生變化甚至圖案化失敗。

EUV 防護(hù)膜(pellicle)是掩?;A(chǔ)設(shè)施的一個(gè)關(guān)鍵部分,目前還沒有為大規(guī)模制造做好準(zhǔn)備。防護(hù)膜是指防止顆粒落在掩模上的薄膜。所以芯片制造商可能要么需要等待 EUV 防護(hù)膜,要么就得不使用防護(hù)膜就投入生產(chǎn)——至少在初期可以這么做。

盡管如此,即使這些問題沒有解決,芯片制造商也還是可以在 7nm 節(jié)點(diǎn)時(shí)應(yīng)用 EUV 光刻。非要使用的話,EUV 也可以用在一兩層上的制造上。但在 5nm 及以后節(jié)點(diǎn),EUV 還不滿足這些節(jié)點(diǎn)的更嚴(yán)格的規(guī)格,也就是說半導(dǎo)體行業(yè)還是必須要投入更多時(shí)間和金錢來解決這些問題。

“我們離在大規(guī)模制造中實(shí)現(xiàn) EUV 的目標(biāo)越來越近,”Stifel Nicolaus 的分析師Patrick Ho 說,“還有一些事情需要解決,而且客戶使用 EUV 處理的層的數(shù)量也各不相同。英特爾更保守。三星則更大膽,因?yàn)樗麄円蚕雽⑵溆糜?DRAM 和代工/邏輯業(yè)務(wù)。我相信臺(tái)積電的 5nm 節(jié)點(diǎn)將實(shí)現(xiàn)完全的大規(guī)模制造,時(shí)間上可能是在 2020-2021 年?!?/p>

很顯然,代工客戶需要在 EUV 發(fā)展曲線上保持領(lǐng)先。為了幫助半導(dǎo)體行業(yè)了解情況,Semiconductor Engineering 在本文中對(duì)這項(xiàng)技術(shù)進(jìn)行了解讀,并分析了EUV 的三大主要部件上的利弊權(quán)衡——掃描儀/源、抗蝕劑和掩?;A(chǔ)設(shè)施。

為什么要用 EUV?

目前,芯片制造商使用 193nm 波長(zhǎng)的光刻技術(shù)在晶圓上描繪精細(xì)的圖案。但實(shí)際上,193nm 浸沒式光刻在 80nm 間距(40nm 半間距)達(dá)到了極限。

因此,從 22nm/20nm 開始,芯片制造商開始在使用 193nm 浸沒式光刻的同時(shí)配合使用各種多重圖案化(multiple patterning)技術(shù)。多重圖案化是一種在晶圓廠中使用多個(gè)光刻、蝕刻和沉積步驟的工藝,其目標(biāo)是為了將間距減小到 40nm 以下。

關(guān)于EUV光刻的優(yōu)勢(shì)和應(yīng)用以及所面臨的的困境

圖 1:自對(duì)準(zhǔn)襯墊料可以避免掩模不對(duì)準(zhǔn)的問題,來自 Lam Research

關(guān)于EUV光刻的優(yōu)勢(shì)和應(yīng)用以及所面臨的的困境

圖 2:雙重圖案化可以增加密度,來自Lam Research

多重圖案化是有效的,但步驟更多,因此會(huì)增加流程的成本和周期時(shí)間(cycle time)。周期時(shí)間是指晶圓廠加工一塊晶圓從開始到結(jié)束的總時(shí)間。

為了解決這些問題,芯片制造商需要 EUV。但因?yàn)?EUV 在 7nm 節(jié)點(diǎn)時(shí)還無法進(jìn)入初始應(yīng)用階段,所以芯片制造商首先將使用浸沒式/多重圖案化。人們希望在 7nm 后期能夠用上 EUV。而 5nm 節(jié)點(diǎn)就必須使用 EUV 了。

“從光學(xué)上看 7nm 節(jié)點(diǎn)就可以實(shí)用了,但可能在成本方面并不理想。”D2S 首席執(zhí)行官Aki Fujimura 說,“行業(yè)希望隨著 7nm 產(chǎn)量的攀升,能夠在同樣的設(shè)計(jì)規(guī)則上使用 EUV。而從實(shí)際的角度來看,如果沒有 EUV,5nm 將無法實(shí)現(xiàn)?!?/p>

EUV 最初是針對(duì) 7nm 節(jié)點(diǎn)的觸點(diǎn)和通孔設(shè)計(jì)的。據(jù)GlobalFoundries 稱,為了加工觸點(diǎn)/通孔,7nm 節(jié)點(diǎn)的每個(gè)光刻層都需要 2 到 4 個(gè)掩模。

而如果使用 EUV,那么每層就只需要 1 個(gè)掩模來加工觸點(diǎn)/通孔即可,而且 7nm 和 5nm 節(jié)點(diǎn)都是這樣。據(jù) ASML 稱,理論上 EUV 能簡(jiǎn)化流程,并且可將晶圓上的周期時(shí)間減少大約 30 天。

GlobalFoundries 首席技術(shù)官 GaryPatton 說:“這是一個(gè)非常好的權(quán)衡,因?yàn)槟惆延|點(diǎn)的四重圖案化或三重圖案化換成了一個(gè)掩模。這也不會(huì)影響到任何設(shè)計(jì)規(guī)則,所以客戶能夠在周期時(shí)間上取得優(yōu)勢(shì),從而得到更好的產(chǎn)量。當(dāng) EUV 技術(shù)成型之后,我們將會(huì)將其過渡到我們做 metal level 和 shrink 的地方。”

所以 EUV 在 7nm 節(jié)點(diǎn)是可行的,有人甚至認(rèn)為使用單重圖案化就可以。但在 5nm 節(jié)點(diǎn),規(guī)格要求更嚴(yán)格,特征尺寸變得更小。為此芯片制造商需要使用多重圖案化的 EUV,這將是最復(fù)雜最昂貴的工藝。

早期采用 EUV 的公司希望在 2019 年到 2020 年左右將 EUV 用于 7nm 節(jié)點(diǎn)。“這差不多就是工作的中心。我們正努力更加進(jìn)取?!盙lobalFoundries 高級(jí)研究員和高級(jí)技術(shù)研究總監(jiān) Harry Levinson 表示,“四大主要芯片公司的 HVM 都規(guī)劃在未來一兩年?,F(xiàn)在真正的問題是誰會(huì)成為第一,誰又將是第二?!?/p>

光源問題

但在此之前,芯片制造商必須首先將 EUV 投入大規(guī)模制造(HVM)。事實(shí)已經(jīng)證明,這比之前預(yù)想的還更加困難,因?yàn)?EUV 光刻復(fù)雜得讓人難以置信。

關(guān)于EUV光刻的優(yōu)勢(shì)和應(yīng)用以及所面臨的的困境

圖 3:EUV 的復(fù)雜性,來自ASML

在 EUV 中,光源會(huì)將等離子體轉(zhuǎn)換成波長(zhǎng) 13.5nm 的光。然后,這些光會(huì)在一種包含 10 個(gè)多層鏡面的復(fù)雜配置方案中反射。

然后這些光會(huì)經(jīng)過一個(gè)可編程的illuminator 并抵達(dá)掩模。在這里,光還會(huì)在另外 6 個(gè)多層鏡面上反射并以 6% 的角度抵達(dá)晶圓。

關(guān)于EUV光刻的優(yōu)勢(shì)和應(yīng)用以及所面臨的的困境

圖 4:準(zhǔn)確反射的光,來自ASML/Carl Zeiss SMT Gmbh

光源是個(gè)大難題。它需要足夠大的功率來生成 EUV 光,從而讓 EUV 掃描儀足夠快地運(yùn)行并在經(jīng)濟(jì)上可行。

要將 EUV 投入大規(guī)模制造,芯片制造商需要能產(chǎn)生 250W 光源功率的 EUV 掃描儀。這能帶來 125 wph(每小時(shí)晶圓數(shù)量)的吞吐量。

實(shí)現(xiàn)這些目標(biāo)所需的時(shí)間超過預(yù)想。不久之前,光源還只有 10W 功率?,F(xiàn)在,ASML 的光源功率已經(jīng)從 80W 提升到了 125 W,讓 EUV 的吞吐量從 60 wph 提升到了 85 wph。

現(xiàn)在 ASML 已經(jīng)準(zhǔn)備開始生產(chǎn)自己的第一款 EUV 掃描儀了,即NXE:3400B。該工具的數(shù)值孔徑為 0.33,分辨率為 13nm。 ASML 高級(jí)產(chǎn)品經(jīng)理 Roderik van Es 說:“看看這個(gè)系統(tǒng)的成像性能,我們已經(jīng)實(shí)現(xiàn)了 13nm LS 和 16nm IS?!保↙S 表示線和空間,IS 表示隔離線。)

該工具一開始時(shí)將裝載一個(gè) 140W 的光源,可實(shí)現(xiàn) 100 wph 的吞吐量。ASML 最近已經(jīng)演示了一款 250W 光源。據(jù) Es 說,這個(gè) 250W 光源的工業(yè)版本將在年底前發(fā)布。

但就算有了 250W 光源,光刻師還是會(huì)擔(dān)憂這個(gè)系統(tǒng)能持續(xù)工作多長(zhǎng)時(shí)間。今天的 193nm 掃描儀可以以 250 wph 或更快的速度不停止地運(yùn)行。相比而言,目前預(yù)生產(chǎn)的 EUV 機(jī)器的上線工作時(shí)間只能占到 70% 到 80%。

“可用性,即工具下線維護(hù)前的時(shí)間長(zhǎng)度,仍然讓人有些擔(dān)憂,尤其是對(duì)英特爾而言?!盨tifel Nicolaus 的Ho 說,“像英特爾這樣的公司無法接受 70% 甚至 80% 的可用性,它們需要百分之九十幾的可用性指標(biāo)?!?/p>

但是,NXE:3400B 在這一領(lǐng)域的表現(xiàn)如何還有待觀察。如果上線運(yùn)轉(zhuǎn)的時(shí)間仍然還有問題,光刻師可能會(huì)因?yàn)槿哂嗟臅r(shí)間而考慮購買其它工具。

當(dāng)然,這是芯片制造商希望避免的昂貴選擇。分析師表示,每臺(tái) EUV 掃描儀的售價(jià)大約為 1.25 億美元,相比而言,現(xiàn)在的 193nm 浸沒式掃描儀的售價(jià)為每臺(tái) 7000 萬美元。

抗蝕劑問題

多年以來,EUV 的頭號(hào)難題都是光源問題。但現(xiàn)在,頭號(hào)難題的位置正從光源轉(zhuǎn)向涉及抗蝕劑的工藝。

EUV 抗蝕劑分為兩大類:化學(xué)增強(qiáng)型抗蝕劑(CAR)和金屬氧化物抗蝕劑。CAR已經(jīng)在行業(yè)內(nèi)應(yīng)用了很多年,是一種基于擴(kuò)散的工藝。較新的金屬氧化物抗蝕劑則基于氧化錫化合物。

抗蝕劑的選擇涉及到三個(gè)指標(biāo)的權(quán)衡,這被稱為 RLS 三角——分辨率(R)、線邊緣粗糙度(LER)和靈敏度(S)。

為了達(dá)到所需的分辨率,芯片制造商需要靈敏度或劑量為20mJ/cm2的 EUV 抗蝕劑。這些抗蝕劑已經(jīng)有了,但是要將其投入大規(guī)模制造,難度卻比之前預(yù)想的更大。

GlobalFoundries 的 Levinson 說:“在 32nm 及以下節(jié)點(diǎn),什么都沒用——不管是 CAR 還是金屬氧化物,不管劑量如何,至少在合理范圍(<100mJ/cm2)內(nèi)是這樣?!?/p>

但業(yè)界已經(jīng)開發(fā)出了30mJ/cm2和 40mJ/cm2的 EUV 抗蝕劑?;?RLS 三角原則,抗蝕劑劑量越高,分辨率就越高。但它們的速度更慢,會(huì)影響 EUV 的吞吐量。

據(jù) ASML 的數(shù)據(jù),在不使用防護(hù)膜,使用30mJ/cm2劑量時(shí),帶有 250W 光源的 EUV 掃描儀的吞吐量大約為104-105 wph,低于 125 wph 的目標(biāo)。

“已有的 EUV 抗蝕劑可以支持 7nm HVM,但特征尺寸更小時(shí)就難以為繼了?!盠evinson 說,“因?yàn)樵谘邪l(fā)低劑量抗蝕劑上耗費(fèi)了太多時(shí)間,下一個(gè)節(jié)點(diǎn)可能存在風(fēng)險(xiǎn)?!?/p>

這是根據(jù)花在開發(fā)20mJ/cm2抗蝕劑上的時(shí)間和資本而得到的結(jié)論。半導(dǎo)體行業(yè)正在開發(fā)這種抗蝕劑,目標(biāo)是用于 5nm 節(jié)點(diǎn)。

抗蝕劑難題道阻且長(zhǎng)。Lam Research 技術(shù)總監(jiān) Richard Wise 在最近一場(chǎng)活動(dòng)中說:“劑量不一定需要達(dá)到我們想要的目標(biāo)。由于 EUV 的隨機(jī)性效應(yīng),在降低劑量上還面臨著很多基礎(chǔ)的物理難題?!?/p>

隨機(jī)性就是指隨機(jī)的變化。“光是由光子構(gòu)成的。曝光少量抗蝕劑的光子的數(shù)量的平均值對(duì)應(yīng)于所需的曝光劑量。”Fractilia 首席技術(shù)官 Chris Mack 解釋說,“但這個(gè)平均值會(huì)隨機(jī)改變。如果曝光一定量抗蝕劑的光子數(shù)量過高,那么相對(duì)的隨機(jī)變化就會(huì)很小。但當(dāng)曝光少量抗蝕劑的光子數(shù)量變小時(shí),相對(duì)的隨機(jī)變化就會(huì)變大。”

這個(gè)效應(yīng)被稱為光子散粒噪聲。散粒噪聲是指在光刻工藝中光子數(shù)量的變化。

所有類型的光刻技術(shù)都有隨機(jī)性之苦,EUV 尤甚。Mack 說:“首先,EUV 光子攜帶的能量比 193nm 光子多 14 倍。所以對(duì)于同樣的曝光劑量,光子數(shù)少 14 倍。其次,我們正在努力通過使用低曝光劑量讓我們的 EUV 掃描儀的吞吐量更高。這也意味著光子更少。光子越少,光子的隨機(jī)不確定性即散粒噪聲就越大?!?/p>

光子數(shù)量的變化會(huì)帶來問題。TEL 資深技術(shù)員Ben Rathsack 說:“我們有更高能量的光子,但數(shù)量不夠。所以我們?cè)趫D案中有線寬粗糙度和線邊緣粗糙度?!保↙ER 的定義是特征邊緣與理想形狀的偏差。)

如果光子數(shù)量不夠,變化還可能導(dǎo)致其它問題。Imec 先進(jìn)圖案化部門主管Gregory McIntyre 說:“在成像方面,我們所說的第一難題是納米橋接、線斷開和融合或缺失孔等地方的極端粗糙度事件或隨機(jī)故障?!?/p>

所以在 EUV 曝光工藝中,掃描儀可能有時(shí)候無法處理某個(gè)線、空或觸點(diǎn)?;蛘咴撨^程可能會(huì)導(dǎo)致線斷開或觸點(diǎn)融合。

防護(hù)膜問題

除了抗蝕劑之外還有其它問題,即所謂的 EUV 光掩?;A(chǔ)設(shè)施。光掩模是給定 IC 設(shè)計(jì)的主模板。在開發(fā)出一個(gè)掩模之后,就要將其送到晶圓廠。該掩模會(huì)被放置在光刻工具中。這個(gè)工具讓光穿過這個(gè)掩模,從而在晶圓上投射出圖案。

半導(dǎo)體行業(yè)多年來一直都在制造 EUV 掩模,但這項(xiàng)工藝仍然很有難度。KLA-Tencor 標(biāo)線板產(chǎn)品部總經(jīng)理 Weston Sousa 說:“掩模行業(yè)正在加大力度開發(fā) EUV 標(biāo)線板。在圖案缺陷和修復(fù)上難題還有很多,從坯料質(zhì)量到特征尺寸均勻性。”

成本和產(chǎn)量也存在問題。GlobalFoundries 的 Patton 說:“我擔(dān)心的是掩模。掩模本身有缺陷,在生產(chǎn)制造時(shí)也會(huì)給掩模帶來缺陷?!?/p>

eBeam Initiative 最近一項(xiàng)調(diào)查的數(shù)據(jù)表明掩模的整體產(chǎn)率處在健康的 94.8%,但 EUV 掩模產(chǎn)率僅有 64.3% 左右。

隨著節(jié)點(diǎn)的演進(jìn),掩模缺陷也越來越小,更難以找到。英特爾的專供光掩模部門Intel Mask Operation 的掩模技術(shù)總監(jiān) JeffFarnsworth 說:“在周期早期,缺陷標(biāo)準(zhǔn)更加寬松。隨著向 HVM 層面推進(jìn),標(biāo)準(zhǔn)會(huì)越來越嚴(yán)格。HVM 層面的標(biāo)準(zhǔn)肯定不寬松?!?/p>

此外,據(jù)三星一位研究者 Heebom Kim 稱,EUV 掩模比復(fù)雜光學(xué)掩模還貴八倍之多。但據(jù)ASML 稱,隨著 EUV 投入大規(guī)模制造,EUV 掩模的成本可能會(huì)下降至比光學(xué)掩模貴不足三倍的水平。

光學(xué)掩模和 EUV 掩模是不同的。在光學(xué)掩模中,掩模坯料由玻璃基板上的不透明鉻層組成。

相比而言,EUV 掩模坯料則由基板上 40 到 50 層交替的硅和鉬層組成。在光學(xué)掩模和 EUV 掩模中,掩模坯料都會(huì)進(jìn)行圖案化,從而形成光掩模?!?/p>

掩模生產(chǎn)商希望實(shí)現(xiàn)兩個(gè)目標(biāo)。一是生產(chǎn)無缺陷的 EUV 掩模,二是防止缺陷出現(xiàn)在掩模上。因?yàn)閬碜話呙鑳x或其它工藝的顆粒物可能會(huì)無意中落在掩模上。

如果 EUV 掃描儀在曝光階段給掩模引入了缺陷,那么它們可能就會(huì)出現(xiàn)在晶圓上,進(jìn)而影響芯片產(chǎn)量。

總體而言,掩模生產(chǎn)商正在生產(chǎn)無缺陷掩模上大步邁進(jìn)。而防止顆粒物落在掩模上是一個(gè)不同的問題,這涉及到掩?;A(chǔ)設(shè)施中的一個(gè)關(guān)鍵部件:防護(hù)膜(pellicle)。防護(hù)膜可用作掩模的防塵罩。

圖 5:開發(fā)出的原型防護(hù)膜,來自ASML

不久之前,半導(dǎo)體行業(yè)還堅(jiān)持認(rèn)為 EUV 掃描儀可以不使用防護(hù)膜,只需要在潔凈的環(huán)境中就可以加工晶圓。之后芯片制造商改變了自己的看法,表示無法保證 EUV 掃描儀或其它工具能在流程中保持百分之分的潔凈。芯片制造商說如果沒有防護(hù)膜,EUV 就很容易受到顆粒物污染,進(jìn)而產(chǎn)生缺陷。

所以業(yè)界開始開發(fā) EUV 防護(hù)膜。光學(xué)掩模的防護(hù)膜基于薄聚合物材料。而 EUV 防護(hù)膜的唯一供應(yīng)商 ASML 則開發(fā)了一種基于多晶硅的 EUV 防護(hù)膜,其厚度僅有 50nm。

在實(shí)際工作過程中,當(dāng) EUV 光擊中防護(hù)膜時(shí),膜的溫度會(huì)上升到 600 到 1000 攝氏度之間。

問題是這種防護(hù)膜很脆。在這樣的溫度下,有人擔(dān)心這種 EUV 防護(hù)膜會(huì)在加工過程中退化,導(dǎo)致 EUV 掩模和掃描儀損壞。

到目前為止,ASML 的 EUV 防護(hù)膜已經(jīng)過了 140W EUV 光源測(cè)試。但這種防護(hù)膜在 250W 功率下的反應(yīng)還未可知。

“從機(jī)械強(qiáng)度和應(yīng)用性上看,EUV 防護(hù)膜還面臨著一些挑戰(zhàn)?!盇pplied Materials 掩模和 TSV 蝕刻部門首席技術(shù)員兼 CTO Banqiu Wu 說,“防護(hù)膜會(huì)吸收一些 EUV 能量。這些能量將會(huì)導(dǎo)致防護(hù)膜溫度升高。防護(hù)膜也處在真空環(huán)境中,這意味著自然對(duì)流冷卻速度非常低。又因?yàn)榉雷o(hù)膜很薄,天然的熱轉(zhuǎn)移也非常困難?!?/p>

總而言之,在 HVM 中使用基于多晶硅的防護(hù)膜還存在一些不確定性,甚至有人對(duì)此仍有懷疑。所以目前半導(dǎo)體行業(yè)正在進(jìn)行調(diào)整并在考慮兩個(gè)選擇:等待一種支持 HVM 的防護(hù)膜或不使用防護(hù)膜就開始生產(chǎn)。

比如英特爾就已經(jīng)聲明不會(huì)在沒有防護(hù)膜時(shí)投入 EUV 生產(chǎn)。英特爾的 Farnsworth 說:“我們正在積極進(jìn)取。”

但是也有公司押注其它選擇。很多公司正在考慮在沒有防護(hù)膜時(shí)就開始 EUV 生產(chǎn),至少可以在初期這么做。

理論上,使用 EUV 的芯片制造商可以在沒有防護(hù)膜時(shí)加工觸點(diǎn)和通孔。GlobalFoundries 的 Patton 說:“這些事情不需要防護(hù)膜,因?yàn)殛P(guān)鍵區(qū)域更小了,所以顆粒物帶來問題的風(fēng)險(xiǎn)也更少了。”

但是,這也可能會(huì)產(chǎn)生一些不好的后果。即使 EUV 掃描儀是潔凈的,我們不想要的顆粒物也會(huì)落在掩模上。

所以如果芯片制造商在沒有防護(hù)膜時(shí)就投入生產(chǎn),它們就必須在流程中實(shí)施更多掩模檢查和清潔步驟。GlobalFoundries 的Levinson 說:“我們將不得不做一些關(guān)于晶圓印制和晶圓檢查的事。但這些事很讓人痛苦,所以我們需要一種優(yōu)良的防護(hù)膜方案。”

在研發(fā)方面,半導(dǎo)體行業(yè)正在研發(fā)下一代防護(hù)膜和掩?;A(chǔ)設(shè)施的其它部分??梢钥隙ǖ氖?,EUV 抗蝕劑的開發(fā)方面也有緊迫感。當(dāng)然,光源方面也是如此。

這些問題會(huì)一同得到解決嗎?在 EUV 光刻正在進(jìn)行的這個(gè)傳奇故事里,時(shí)間會(huì)告訴我們答案。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50025

    瀏覽量

    419791
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26669

    瀏覽量

    212946
  • EUV
    EUV
    +關(guān)注

    關(guān)注

    8

    文章

    601

    瀏覽量

    85921
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    日本與英特爾合建半導(dǎo)體研發(fā)中心,將配備EUV光刻機(jī)

    英特爾將在日本設(shè)立先進(jìn)半導(dǎo)體研發(fā)中心,配備EUV光刻設(shè)備,支持日本半導(dǎo)體設(shè)備和材料產(chǎn)業(yè)發(fā)展,增強(qiáng)本土研發(fā)能力。 據(jù)日經(jīng)亞洲(Nikkei Asia)9月3日?qǐng)?bào)導(dǎo),美國(guó)處理器大廠英特爾已決定與日
    的頭像 發(fā)表于 09-05 10:57 ?233次閱讀

    日本大學(xué)研發(fā)出新極紫外(EUV)光刻技術(shù)

    近日,日本沖繩科學(xué)技術(shù)大學(xué)院大學(xué)(OIST)發(fā)布了一項(xiàng)重大研究報(bào)告,宣布該校成功研發(fā)出一種突破性的極紫外(EUV光刻技術(shù)。這一創(chuàng)新技術(shù)超越了當(dāng)前半導(dǎo)體制造業(yè)的標(biāo)準(zhǔn)界限,其設(shè)計(jì)的光刻設(shè)備能夠采用更小巧的
    的頭像 發(fā)表于 08-03 12:45 ?746次閱讀

    示波器使用以及信號(hào)處理

    有沒有大神可以教我示波器的使用以及信號(hào)的處理,可有償。
    發(fā)表于 07-27 11:45

    日企大力投資光刻膠等關(guān)鍵EUV材料

    日本在EUV光刻領(lǐng)域保留著對(duì)供應(yīng)鏈關(guān)鍵部分的控制,例如半導(dǎo)體材料。 據(jù)了解,芯片制造涉及19種關(guān)鍵材料,且多數(shù)都具有較高技術(shù)壁壘,而日本企業(yè)在其中14種關(guān)鍵材料中占據(jù)全球超過50%的市場(chǎng)份額。由于
    的頭像 發(fā)表于 07-16 18:27 ?152次閱讀

    替代EUV光刻,新方案公布!

    們有一個(gè)共同點(diǎn),那就是它們所依賴的極紫外 (EUV) 光刻技術(shù)極其復(fù)雜、極其昂貴,而且操作成本極高。主要原因是,該系統(tǒng)的 13.5 納米光的來源是使用地球上最強(qiáng)大的商用激光器噴射飛散的熔融錫滴的精確且昂貴的過程。 但一種非常規(guī)替代方案正在醞釀之
    的頭像 發(fā)表于 06-17 09:46 ?410次閱讀

    阿斯麥和IMEC聯(lián)合光刻實(shí)驗(yàn)室啟用

    近日,比利時(shí)微電子研究中心(IMEC)與全球光刻技術(shù)領(lǐng)軍企業(yè)阿斯麥(ASML)共同宣布,在荷蘭費(fèi)爾德霍芬正式啟用聯(lián)合High-NA EUV光刻實(shí)驗(yàn)室。
    的頭像 發(fā)表于 06-06 10:09 ?592次閱讀

    Rapidus對(duì)首代工藝中0.33NA EUV解決方案表示滿意,未采用高NA EUV光刻機(jī)

    在全球四大先進(jìn)制程代工巨頭(包括臺(tái)積電、三星電子、英特爾以及Rapidus)中,只有英特爾明確表示將使用High NA EUV光刻機(jī)進(jìn)行大規(guī)模生產(chǎn)。
    的頭像 發(fā)表于 05-27 14:37 ?548次閱讀

    ASML考慮推出通用EUV光刻平臺(tái)

    范登布林克指出,更高的數(shù)值孔徑能提高光刻分辨率。他進(jìn)一步解釋說,Hyper NA 光刻機(jī)將簡(jiǎn)化先進(jìn)制程生產(chǎn)流程,避免因使用 High NA 光刻機(jī)進(jìn)行雙重圖案化導(dǎo)致的額外步驟及風(fēng)險(xiǎn)。
    的頭像 發(fā)表于 05-23 09:51 ?361次閱讀

    臺(tái)積電A16制程采用EUV光刻機(jī),2026年下半年量產(chǎn)

    據(jù)臺(tái)灣業(yè)內(nèi)人士透露,臺(tái)積電并未為A16制程配備高數(shù)值孔徑(High-NA)EUV光刻機(jī),而選擇利用現(xiàn)有的EUV光刻機(jī)進(jìn)行生產(chǎn)。相較之下,英特爾和三星則計(jì)劃在此階段使用最新的High-N
    的頭像 發(fā)表于 05-17 17:21 ?810次閱讀

    英特爾率先推出業(yè)界高數(shù)值孔徑 EUV 光刻系統(tǒng)

    來源:Yole Group 英特爾代工已接收并組裝了業(yè)界首個(gè)高數(shù)值孔徑(高NA)極紫外(EUV光刻系統(tǒng)。 新設(shè)備能夠大大提高下一代處理器的分辨率和功能擴(kuò)展,使英特爾代工廠能夠繼續(xù)超越英特爾 18A
    的頭像 發(fā)表于 04-26 11:25 ?411次閱讀

    英特爾突破技術(shù)壁壘:首臺(tái)商用High NA EUV光刻機(jī)成功組裝

    英特爾的研發(fā)團(tuán)隊(duì)正致力于對(duì)這臺(tái)先進(jìn)的ASML TWINSCAN EXE:5000 High NA EUV光刻機(jī)進(jìn)行細(xì)致的校準(zhǔn)工作,以確保其能夠順利融入未來的生產(chǎn)線。
    的頭像 發(fā)表于 04-22 15:52 ?822次閱讀

    ASML 首臺(tái)新款 EUV 光刻機(jī) Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機(jī)制造商 ASML 宣布其首臺(tái)新款 EUV 光刻機(jī) Twinscan NXE:3800E 已完成安裝,新機(jī)型將帶來更高的生產(chǎn)效率。 ▲ ASML 在 X 平臺(tái)上的相關(guān)動(dòng)態(tài)
    的頭像 發(fā)表于 03-14 08:42 ?473次閱讀
    ASML 首臺(tái)新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻</b>機(jī) Twinscan NXE:3800E 完成安裝

    三星D1a nm LPDDR5X器件的EUV光刻工藝

    三星D1a nm LPDDR5X器件的EUV光刻工藝
    的頭像 發(fā)表于 11-23 18:13 ?931次閱讀
    三星D1a nm LPDDR5X器件的<b class='flag-5'>EUV</b><b class='flag-5'>光刻</b>工藝

    三星希望進(jìn)口更多ASML EUV***,5年內(nèi)新增50臺(tái)

    EUV曝光是先進(jìn)制程芯片制造中最重要的部分,占據(jù)總時(shí)間、總成本的一半以上。由于這種光刻機(jī)極為復(fù)雜,因此ASML每年只能制造約60臺(tái),而全球5家芯片制造商都依賴ASML的EUV光刻機(jī),包
    的頭像 發(fā)表于 11-22 16:46 ?673次閱讀

    什么是EUV光刻?EUV與DUV光刻的區(qū)別

    EUV 光是指用于微芯片光刻的極紫外光,涉及在微芯片晶圓上涂上感光材料并小心地將其曝光。這會(huì)將圖案打印到晶圓上,用于微芯片設(shè)計(jì)過程中的后續(xù)步驟。
    發(fā)表于 10-30 12:22 ?2451次閱讀