0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA設(shè)計(jì)的一些相關(guān)經(jīng)驗(yàn)

PCB線路板打樣 ? 來源:pcb論壇網(wǎng) ? 作者:pcb論壇網(wǎng) ? 2020-01-15 16:51 ? 次閱讀

這里我談?wù)勎业囊恍┙?jīng)驗(yàn)和大家分享,希望能對(duì)IC設(shè)計(jì)的新手有一定的幫助,能使得他們能少走一些彎路,歡迎討論!

我相信“如果有夢(mèng)想,就會(huì)實(shí)現(xiàn)!”

IC工業(yè)中有許多不同的領(lǐng)域,IC設(shè)計(jì)者的特征也會(huì)有些不同。在A領(lǐng)域的一個(gè)好的IC設(shè)計(jì)者也許會(huì)花很長時(shí)間去熟悉B領(lǐng)域的知識(shí)。在我們職業(yè)生涯的開始,我們應(yīng)該問我們自己一些問題,我們想要成為怎樣的IC設(shè)計(jì)者?消費(fèi)?PC外圍?通信微處理器DSP?等等?

IC設(shè)計(jì)的基本規(guī)則和流程是一樣的,無論啥樣的都會(huì)加到其中。HDL,FPGA和軟件等是幫助我們理解芯片的最好工具。IC的靈魂是知識(shí)。因此我們遇到的第一個(gè)挑戰(zhàn)將是獲得設(shè)計(jì)的相關(guān)信息,然后理解信息并應(yīng)用它。

但是有些信息不是免費(fèi)的,我們需要加入一些協(xié)會(huì)或從如IEEE/ISO等那些組織購買一些文檔。設(shè)計(jì)者應(yīng)該有很強(qiáng)的背景知識(shí)來很快的理解他們,甚至能改進(jìn)存在的標(biāo)準(zhǔn)或。一個(gè)好的設(shè)計(jì)者應(yīng)該應(yīng)該有足夠的設(shè)計(jì)技能和工具應(yīng)用知識(shí)并且不斷的積累他們。

例如:8口以太網(wǎng)轉(zhuǎn)換HUB控制器

需要知識(shí):IEEE802.3標(biāo)準(zhǔn),包括10MHZ以太網(wǎng)和100MHZ快速以太網(wǎng)。

相關(guān)領(lǐng)域:異步傳輸模式(ATM),IEEE802.11無限局域網(wǎng),IEEE1394,USB等。

HDL,計(jì)算機(jī)仿真和只能解決ASIC設(shè)計(jì)流程的數(shù)字部分。如果在IC中有任何模擬部分,他將依賴模擬設(shè)計(jì)者或從另外的廠家購買。甚至一些純數(shù)字部分也能從另外一些廠家購買以加速上市時(shí)間。那些不是被我們?cè)O(shè)計(jì)的部分稱為IP,包括HDL代碼,網(wǎng)表,硬核。對(duì)于我們?cè)O(shè)計(jì)的技術(shù)取決于硬核。一些IP是非常貴的,如在USB2.0中的 PHY。一些小的公司沒有足夠的人力和軟件資源來完成有些工作,甚至他們不能在缺貨期預(yù)定足夠的晶原,因此涉及服務(wù)公司取代了他們的工作。但并不是每個(gè)IP都滿足我們的需要,有時(shí)我們需要在購買后作一些修改。我們要在設(shè)計(jì)前決定所要用到的IPs。

在設(shè)計(jì)開始,設(shè)計(jì)者必須理解所有相關(guān)的標(biāo)準(zhǔn)、規(guī)范和算法。但是有許多方法來應(yīng)用這些規(guī)范和算法。最好的結(jié)構(gòu)是快速和最小芯片尺寸的結(jié)合。不幸的是,快速的需求常常和最小芯片尺寸的需求是對(duì)立的。因此,在HDL編碼工作前規(guī)劃一個(gè)最優(yōu)的結(jié)構(gòu)也是一個(gè)重要的問題。

例如:1:除法器

除數(shù)被固定。最快的方法是查表,但是這個(gè)方法需要大的內(nèi)存。我們可以可以從被除數(shù)中不斷的減去除數(shù)直到新的被除數(shù)比除數(shù)小。它會(huì)花更多的時(shí)間但用最少的硬件。還有許多的方法來構(gòu)建除法器,每種方法都有他自己的優(yōu)點(diǎn)和缺點(diǎn)。

2:圖像處理的動(dòng)態(tài)評(píng)估器

從前一個(gè)圖片中發(fā)現(xiàn)最相似的8×8模塊,在整個(gè)電影剪輯中。最基本的有全搜索和三步搜索的方法。許多的論文已經(jīng)討論過優(yōu)化硬件復(fù)雜度和速度的結(jié)構(gòu),這里我不再祥解釋。

一個(gè)好的設(shè)計(jì)者應(yīng)該要被實(shí)際經(jīng)驗(yàn)培訓(xùn)和不斷的。我們要在每個(gè)設(shè)計(jì)工作中非常小心和耐心。因?yàn)橐粋€(gè)NRE將會(huì)消耗大量的金錢和數(shù)周的時(shí)間,如果他不小心犯錯(cuò),設(shè)計(jì)者將會(huì)對(duì)金錢和計(jì)劃失敗負(fù)責(zé)。經(jīng)驗(yàn)和小心也許是來完成一個(gè)成功的設(shè)計(jì)項(xiàng)目最好的方法。

以下條款是一些對(duì)一個(gè)穩(wěn)步的和成功的設(shè)計(jì)的建議:(可能有些朋友也指出了其中的部分,我這里只作簡要說明,可能稍有不同)

命名風(fēng)格:

1不要用關(guān)鍵字做信號(hào)名;

2不要在中用VERILOG關(guān)鍵字做信號(hào)名;

3命名信號(hào)用含義;

4命名I/O口用盡量短的名字;

5不要把信號(hào)用高和低的情況混合命名;

6信號(hào)的第一個(gè)字母必須是A-Z是一個(gè)規(guī)則;

7使模塊名、實(shí)例名和文件名相同;

編碼風(fēng)格:記住,一個(gè)好的代碼是其他人可以很容易閱讀和理解的。

1盡可能多的增加說明語句;

2在一個(gè)設(shè)計(jì)中固定編碼格式和統(tǒng)一所有的模塊,根從項(xiàng)目領(lǐng)導(dǎo)者定義的格式;

3把全部設(shè)計(jì)分成適合數(shù)量的不同的模塊或?qū)嶓w;

4在一個(gè)always/process中的所有信號(hào)必須相關(guān);

5不要用關(guān)鍵字或一些經(jīng)常被用來安全綜合的語法;

6不要用復(fù)雜邏輯;

7在一個(gè)if語句中的所有條件必須相關(guān);

設(shè)計(jì)風(fēng)格

1強(qiáng)烈建議用同步設(shè)計(jì);

2在設(shè)計(jì)時(shí)總是記住時(shí)序問題;

3在一個(gè)設(shè)計(jì)開始就要考慮到地電平或高電平復(fù)位、同步或異步復(fù)位、上升沿或下降沿觸發(fā)等問題,在所有模塊中都要遵守它;

4在不同的情況下用if和case;

5在鎖存一個(gè)信號(hào)或總線時(shí)要小心;

6確信所有寄存器的輸出信號(hào)能夠被復(fù)位/置位;

7永遠(yuǎn)不要再寫入之前讀取任何內(nèi)部存儲(chǔ)器(如SRAM

8從一個(gè)時(shí)鐘到另一個(gè)不同的時(shí)鐘傳輸數(shù)據(jù)時(shí)用數(shù)據(jù)緩沖,他工作像一個(gè)雙時(shí)鐘FIFO;

9在VHDL中二維數(shù)組可以使用,它是非常有用的。在VERILOG中他僅僅可以使用在測試模塊中,不能被綜合;

10遵守register-in register-out規(guī)則;

11像synopsys的DC的綜合工具是非常穩(wěn)定的,任何bugs都不會(huì)從綜合工具中產(chǎn)生;

12確保FPGA版本與ASIC的版本盡可能的相似,特別是SRAM類型,若版本一致是最理想的;

13在嵌入式存儲(chǔ)器中使用BIST;

14虛單元和一些修正電路是必需的;

15一些簡單的測試電路也是需要的,經(jīng)常在一個(gè)芯片中有許多測試模塊;

16除非低功耗不要用門控時(shí)鐘;

17不要依靠腳本來保證設(shè)計(jì)。但是在腳本中的一些好的約束能夠起到更好的性能(例如前向加法器);

18如果時(shí)間充裕,通過時(shí)鐘做一個(gè)多鎖存器來取代用MUX;

19不要用內(nèi)部tri-state, ASIC需要總線保持器來處理內(nèi)部tri-state;

20在top level中作pad insertion;

21選擇pad時(shí)要小心(如上拉能力,施密特觸發(fā)器,5伏耐壓等);

22小心由時(shí)鐘偏差引起的問題;

23不要試著產(chǎn)生半周期信號(hào);

24如果有很多函數(shù)要修正,請(qǐng)一個(gè)一個(gè)地作,修正一個(gè)函數(shù)檢查一個(gè)函數(shù);

25在一個(gè)計(jì)算等式中排列每個(gè)信號(hào)的位數(shù)是一個(gè)好習(xí)慣,即使綜合工具能做;

26不要使用HDL提供的除法器;

27削減不必要的時(shí)鐘。它會(huì)在設(shè)計(jì)和布局中引起很多麻煩,大多數(shù)FPGA有1-4個(gè)專門的時(shí)鐘通道;深圳專業(yè)硬件FPGA學(xué)習(xí),工程師授課,包教會(huì),詳情聯(lián)系郭老師QQ754634522

以上是大家在設(shè)計(jì)中最好遵守的要點(diǎn),它可以使你的設(shè)計(jì)更好。(轉(zhuǎn)載)

責(zé)任編輯:ct

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600687
  • 華強(qiáng)pcb線路板打樣

    關(guān)注

    5

    文章

    14629

    瀏覽量

    42908
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    LED驅(qū)動(dòng)器應(yīng)用的一些指南和技巧

    電子發(fā)燒友網(wǎng)站提供《LED驅(qū)動(dòng)器應(yīng)用的一些指南和技巧.pdf》資料免費(fèi)下載
    發(fā)表于 09-25 11:35 ?0次下載
    LED驅(qū)動(dòng)器應(yīng)用的<b class='flag-5'>一些</b>指南和技巧

    FPGA電路設(shè)計(jì)的一些技巧

    FPGA設(shè)計(jì)有別于DSP和ARM系統(tǒng),相比之下較為靈活和自由。主要是設(shè)計(jì)構(gòu)思好專用管腳的電路,通用I/O的連接可以自身定義。因而,FPGA電路設(shè)計(jì)中會(huì)有一些獨(dú)特的方法能夠參照。 FPGA
    發(fā)表于 07-21 20:20

    stm32使用workbench只生成了一些.h和.c文件,然后該如何使用它們?

    使用workbench只生成了一些.h和.c文件,然后該如何使用它們?還需要手動(dòng)在MDK中建立工程添加標(biāo)準(zhǔn)庫再把這些文件加進(jìn)去么?哪位有相關(guān)開發(fā)經(jīng)驗(yàn),請(qǐng)賜教!
    發(fā)表于 05-15 07:29

    國產(chǎn)高端fpga芯片有哪些

    國產(chǎn)高端FPGA芯片有多種,以下是一些知名的國產(chǎn)FPGA芯片,
    的頭像 發(fā)表于 03-15 14:01 ?2284次閱讀

    fpga芯片有哪些

    FPGA芯片的種類非常豐富,以下是一些主要的FPGA芯片及其特點(diǎn)。
    的頭像 發(fā)表于 03-14 17:35 ?964次閱讀

    關(guān)于智能門禁設(shè)備做CCC認(rèn)證申請(qǐng)的一些經(jīng)驗(yàn)分享

    CCC認(rèn)證申請(qǐng)的一些經(jīng)驗(yàn)分享。1.了解CCC認(rèn)證要求:首先,您需要詳細(xì)了解CCC認(rèn)證的規(guī)定和要求,包括適用標(biāo)準(zhǔn)、測試項(xiàng)目、申請(qǐng)流程等內(nèi)容。CCC認(rèn)證涉及到產(chǎn)品的安
    的頭像 發(fā)表于 03-07 17:10 ?424次閱讀
    關(guān)于智能門禁設(shè)備做CCC認(rèn)證申請(qǐng)的<b class='flag-5'>一些</b><b class='flag-5'>經(jīng)驗(yàn)</b>分享

    一些有關(guān)通信電路的資料?

    有關(guān)嵌入式之間DSP、ARM、FPGA三者之間和這三款芯片和外部電路之間通信的一些資料,比如說芯片之間的并行通信和芯片和外部電路之間的串行通信,MODBUS、DP、CAN等,一些一些
    發(fā)表于 03-03 18:53

    一些無功補(bǔ)償裝置SVG的資料

    一些SVG電路原理和功能碼相關(guān)的技術(shù)說明書,想了解一些SVG的工作原理和工作過程
    發(fā)表于 02-03 10:13

    提高嵌入式代碼質(zhì)量的一些方法

    的事情搞復(fù)雜,我希望這些文字能給迷惑中的人們指出一些正確的方向,讓他們少走一些彎路,基本做到一分耕耘一分收獲。
    的頭像 發(fā)表于 11-30 09:15 ?398次閱讀

    我們?yōu)槭裁葱枰私?b class='flag-5'>一些先進(jìn)封裝?

    我們?yōu)槭裁葱枰私?b class='flag-5'>一些先進(jìn)封裝?
    的頭像 發(fā)表于 11-23 16:32 ?518次閱讀
    我們?yōu)槭裁葱枰私?b class='flag-5'>一些</b>先進(jìn)封裝?

    分享一些SystemVerilog的coding guideline

    本文分享一些SystemVerilog的coding guideline。
    的頭像 發(fā)表于 11-22 09:17 ?642次閱讀
    分享<b class='flag-5'>一些</b>SystemVerilog的coding  guideline

    FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

    電子發(fā)燒友網(wǎng)站提供《FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享.pdf》資料免費(fèi)下載
    發(fā)表于 11-21 11:03 ?3次下載
    <b class='flag-5'>FPGA</b>/CPLD數(shù)字電路設(shè)計(jì)<b class='flag-5'>經(jīng)驗(yàn)</b>分享

    PCB抄板的一些方法

    拆掉所有器多層板抄板件,并且將PAD孔里的錫去掉。用酒精將PCB清洗干凈,然后放入掃描儀內(nèi),掃描儀掃描的時(shí)候需要稍調(diào)高一些掃描的像素, 以便得到較清晰的圖像。
    的頭像 發(fā)表于 11-15 17:04 ?834次閱讀
    PCB抄板的<b class='flag-5'>一些</b>方法

    #共建FPGA開發(fā)者技術(shù)社區(qū),為FPGA生態(tài)點(diǎn)贊#+2023.11.8+FPGA設(shè)計(jì)的實(shí)踐與經(jīng)驗(yàn)分享

    1.對(duì)輸入輸出進(jìn)行限制,例如可接受的輸入范圍和輸出幅度等 2.將功能拆分為多個(gè)模塊,降低設(shè)計(jì)復(fù)雜度,方便調(diào)試和維護(hù)3.添加注釋和文檔,方便代碼理解和后期維護(hù)。 以上是FPGA設(shè)計(jì)中的一些經(jīng)驗(yàn)和技巧分享,希望能夠?qū)Υ蠹矣兴鶐椭?/div>
    發(fā)表于 11-08 15:25

    針對(duì)RF PCBA設(shè)計(jì)的一些建議

    射頻(RF)PCBA設(shè)計(jì)涉及系列復(fù)雜的考慮因素,包括天線設(shè)計(jì)、濾波器設(shè)計(jì)以及傳輸線(RF Trace)的優(yōu)化。這些因素對(duì)于無線通信和射頻應(yīng)用的性能至關(guān)重要。以下是針對(duì)RF PCBA設(shè)計(jì)的一些建議。
    的頭像 發(fā)表于 10-30 10:19 ?414次閱讀