0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

臺積電2020年3月開始量產(chǎn)5nm工藝,晶體管密度提升最多80%

牽手一起夢 ? 來源:郭婷 ? 作者:新浪科技 ? 2019-09-26 14:49 ? 次閱讀

據(jù)產(chǎn)業(yè)消息,臺積電將從2020年3月開始,大規(guī)模量產(chǎn)5nm工藝,屆時(shí)芯片公司就可以開始用新工藝流片了。

很多人一直說摩爾定律已死,但是在7nm工藝量產(chǎn)后僅僅兩年,5nm就要成真,真是有點(diǎn)不可思議。

7nm+ EUV節(jié)點(diǎn)之后,臺積電5nm工藝將更深入地應(yīng)用EUV極紫外光刻技術(shù),綜合表現(xiàn)全面提升,官方宣稱相比第一代7nm EDV工藝可以帶來最多80%的晶體管密度提升,15%左右的性能提升或者30%左右的功耗降低。

這些數(shù)據(jù)是來自臺積電在ARM A72核心的結(jié)果,不同芯片表現(xiàn)肯定不一樣,但無論性能還是功耗,必然都會比7nm時(shí)代有明顯進(jìn)步。

另外,臺積電還準(zhǔn)備了增強(qiáng)版的N5P 5nm工藝,優(yōu)化前線和后線,可以繼續(xù)提升7%的性能,或者降低15%的功耗。

臺積電5nm工藝已經(jīng)有多家客戶,雖未官宣,但是蘋果下代A系列、華為下代麒麟、AMD下代Zen4架構(gòu)、高通下代驍龍旗艦,幾乎都跑不了,據(jù)說“家里有礦”的比特大陸也會在未來AI芯片上應(yīng)用5nm。

為了滿足客戶需求,臺積電已經(jīng)上調(diào)了計(jì)劃中的5nm產(chǎn)能,而現(xiàn)在的7nm也是有些供不應(yīng)求。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49983

    瀏覽量

    419649
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5574

    瀏覽量

    165869
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9582

    瀏覽量

    137467
收藏 人收藏

    評論

    相關(guān)推薦

    性能殺手锏!3nm工藝迭代,新一代手機(jī)芯片交戰(zhàn)

    面向性能應(yīng)當(dāng)會再提升,成為聯(lián)發(fā)科搶占市場的利器。高通雖尚未公布新一代旗艦芯片驍龍8 Gen 4亮相時(shí)間與細(xì)節(jié)。外界認(rèn)為,該款芯片也是以3nm
    的頭像 發(fā)表于 07-09 00:19 ?4917次閱讀

    AI芯片驅(qū)動(dòng)Q3財(cái)報(bào)亮眼!3nm5nm營收飆漲,毛利率高達(dá)57.8%

    1017日,召開第三季度法說會,受惠 AI 需求持續(xù)強(qiáng)勁下,
    的頭像 發(fā)表于 10-18 10:36 ?1543次閱讀
    AI芯片驅(qū)動(dòng)<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>Q<b class='flag-5'>3</b>財(cái)報(bào)亮眼!<b class='flag-5'>3nm</b>和<b class='flag-5'>5nm</b>營收飆漲,毛利率高達(dá)57.8%

    3nm/5nm工藝前三季度營收破萬億新臺幣

    據(jù)媒DigiTimes最新報(bào)告,在2024前三季度的業(yè)績表現(xiàn)強(qiáng)勁,僅憑其先進(jìn)的3nm
    的頭像 發(fā)表于 08-28 15:55 ?345次閱讀

    消息稱3nm/5nm將漲價(jià),終端產(chǎn)品或受影響

    據(jù)業(yè)內(nèi)手機(jī)晶片領(lǐng)域的資深人士透露,計(jì)劃在明年11日起對旗下的先進(jìn)工藝制程進(jìn)行價(jià)格調(diào)整,特別是針對
    的頭像 發(fā)表于 07-04 09:22 ?554次閱讀

    3nm工藝產(chǎn)能緊俏,蘋果等四巨頭瓜分

    據(jù)臺灣媒體報(bào)道,近期全球芯片制造巨頭面臨了3nm系列工藝產(chǎn)能的激烈競爭。據(jù)悉,蘋果、高通、英偉達(dá)和AMD這四大科技巨頭已經(jīng)率先瓜分完了
    的頭像 發(fā)表于 06-12 10:47 ?553次閱讀

    3nm工藝節(jié)點(diǎn)步入正軌,N3P預(yù)計(jì)2024下半年量產(chǎn)

    在N3P上,公司利用之前的N3E工藝節(jié)點(diǎn)進(jìn)行優(yōu)化升級,以提升整體能效及晶體管密度。據(jù)介紹,N
    的頭像 發(fā)表于 05-17 14:56 ?735次閱讀

    N3P工藝新品投產(chǎn),性能提質(zhì)、成本減負(fù)

    N3E工藝的批量生產(chǎn)預(yù)期如期進(jìn)行,其缺陷密度2020量產(chǎn)的N
    的頭像 發(fā)表于 05-17 09:17 ?767次閱讀

    升級4nm N4C工藝,優(yōu)化能效與降低成本

    在近日舉辦的 2024 北美技術(shù)研討會上,業(yè)務(wù)發(fā)展副總裁張凱文發(fā)表講話稱:“盡管我們的 5nm 和 4nm 工藝尚未完全成熟,但從 N5
    的頭像 發(fā)表于 04-26 14:35 ?873次閱讀

    擴(kuò)增3nm產(chǎn)能,部分5nm產(chǎn)能轉(zhuǎn)向該節(jié)點(diǎn)

    目前,蘋果、高通、聯(lián)發(fā)科等世界知名廠商已與電能達(dá)成緊密合作,預(yù)示將繼續(xù)增加 5nm產(chǎn)能
    的頭像 發(fā)表于 03-19 14:09 ?517次閱讀

    ISSCC 2024談萬億晶體管3nm將導(dǎo)入汽車

    推出更先進(jìn)封裝平臺,晶體管可增加到1萬億個(gè)。
    的頭像 發(fā)表于 02-23 10:05 ?1098次閱讀
    ISSCC 2024<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>談萬億<b class='flag-5'>晶體管</b>,<b class='flag-5'>3nm</b>將導(dǎo)入汽車

    第二代3nm工藝產(chǎn)能頗受客戶歡迎,預(yù)計(jì)今年月產(chǎn)量達(dá)10萬片

    據(jù)悉,自202212份起開始量產(chǎn)
    的頭像 發(fā)表于 01-05 10:13 ?549次閱讀

    3nm工藝預(yù)計(jì)2024產(chǎn)量達(dá)80%

    據(jù)悉,2024的第二代3nm工藝(稱為N3E
    的頭像 發(fā)表于 01-03 14:15 ?704次閱讀

    首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 量產(chǎn)

    開始量產(chǎn)。 根據(jù) SemiAnalysis 的 Dylan Patel 給出的幻燈片,
    的頭像 發(fā)表于 12-18 15:13 ?453次閱讀

    1.4nm制程工藝研發(fā)持續(xù),預(yù)計(jì)2027-2028量產(chǎn)

    此外,對于的1.4nm制程技術(shù),媒體預(yù)計(jì)其名稱為A14。從技術(shù)角度來看,A14節(jié)點(diǎn)可能不會運(yùn)用垂直堆疊互補(bǔ)場效應(yīng)晶體管(CFET)技術(shù)
    的頭像 發(fā)表于 12-15 10:23 ?582次閱讀

    今日看點(diǎn)丨首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 量產(chǎn);消息稱字節(jié)跳動(dòng)將取消下一代 VR 頭顯

    1. 首次提及 1.4nm 工藝技術(shù),2nm 工藝
    發(fā)表于 12-14 11:16 ?966次閱讀