0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用單片機(jī)實(shí)現(xiàn)頻率計(jì)的資料概述

Wildesbeast ? 來源:?jiǎn)纹瑱C(jī)教程網(wǎng) ? 作者:?jiǎn)纹瑱C(jī)教程網(wǎng) ? 2020-06-27 09:35 ? 次閱讀

1 引言

本設(shè)計(jì)綜合考慮了頻率測(cè)量精度和測(cè)量反應(yīng)時(shí)間的要求。例如當(dāng)要求頻率測(cè)量結(jié)果為3位有效數(shù)字,這時(shí)如果待測(cè)信號(hào)的頻率為1 Hz,則計(jì)數(shù)閘門寬度必須大于1 000 s。為了兼顧頻率測(cè)量精度和測(cè)量反應(yīng)時(shí)間的要求,把測(cè)量工作分為兩種方法:

(1)當(dāng)待測(cè)信號(hào)的頻率>100 Hz時(shí),定時(shí)/計(jì)數(shù)器構(gòu)成為計(jì)數(shù)器,以機(jī)器周期為基準(zhǔn),由軟件產(chǎn)生計(jì)數(shù)閘門,計(jì)數(shù)閘門寬度>1 s時(shí),即可滿足頻率測(cè)量結(jié)果為3位有效數(shù)字;

(2)當(dāng)待測(cè)信號(hào)的頻率<100 Hz時(shí),定時(shí)/計(jì)數(shù)器構(gòu)成為定時(shí)器,由頻率計(jì)的予處理電路把待測(cè)信號(hào)變成方波,方波寬度等于待測(cè)信號(hào)的周期。這時(shí)用方波作計(jì)數(shù)閘門,當(dāng)待測(cè)信號(hào)的頻率=100 Hz,使用12 MHz時(shí)鐘時(shí)的最小計(jì)數(shù)值為10 000,完全滿足測(cè)量精度的要求。

本頻率計(jì)的設(shè)計(jì)以AT89C51單片機(jī)為核心,利用他內(nèi)部的定時(shí)/計(jì)數(shù)器完成待測(cè)信號(hào)周期/頻率的測(cè)量。單片機(jī)AT89C51內(nèi)部具有2個(gè)16位定時(shí)/計(jì)數(shù)器,定時(shí)/計(jì)數(shù)器的工作可以由編程來實(shí)現(xiàn)定時(shí)、計(jì)數(shù)和產(chǎn)生計(jì)數(shù)溢出時(shí)中斷要求的功能。在定時(shí)器工作方式下,在被測(cè)時(shí)間間隔內(nèi),每來一個(gè)機(jī)器周期,計(jì)數(shù)器自動(dòng)加1(使用12 MHz時(shí)鐘時(shí),每1μs加1),這樣以機(jī)器周期為基準(zhǔn)可以用來測(cè)量時(shí)間間隔。在計(jì)數(shù)器工作方式下,加至外部引腳的待測(cè)信號(hào)發(fā)生從1到0的跳變時(shí)計(jì)數(shù)器加1,這樣在計(jì)數(shù)閘門的控制下可以用來測(cè)量待測(cè)信號(hào)的頻率。外部輸入在每個(gè)機(jī)器周期被采樣一次,這樣檢測(cè)一次從1到0的跳變至少需要2個(gè)機(jī)器周期(24個(gè)振蕩周期),所以最大計(jì)數(shù)速率為時(shí)鐘頻率的1/24(使用12 MHz時(shí)鐘時(shí),最大計(jì)數(shù)速率為500 kHz)。定時(shí)/計(jì)數(shù)器的工作由運(yùn)行控制位TR控制,當(dāng)TR置1,定時(shí)/計(jì)數(shù)器開始計(jì)數(shù);當(dāng)TR清0,停止計(jì)數(shù)。

2頻率計(jì)的量程自動(dòng)切換

使用定時(shí)方法實(shí)現(xiàn)頻率測(cè)量時(shí),外部的待測(cè)信號(hào)通過頻率計(jì)的預(yù)處理電路變成寬度等于待測(cè)信號(hào)周期的方波,該方波同樣加至定時(shí)/計(jì)數(shù)器的輸入腳。工作高電平是否加至定時(shí)/計(jì)數(shù)器的輸入腳;當(dāng)判定高電平加至定時(shí)/計(jì)數(shù)器的輸入腳,運(yùn)行控制位TR置1,啟動(dòng)定時(shí)/計(jì)數(shù)器對(duì)單片機(jī)的機(jī)器周期的計(jì)數(shù),同時(shí)檢測(cè)方波高電平是否結(jié)束;當(dāng)判定高電平結(jié)束時(shí)TR清0,停止計(jì)數(shù),然后從計(jì)數(shù)寄存器讀出測(cè)量數(shù)據(jù)。這時(shí)讀出的數(shù)據(jù)反映的是待測(cè)信號(hào)的周期,通過數(shù)據(jù)處理把周期值變換成頻率值,由顯示電路顯示測(cè)量結(jié)果。

使用計(jì)數(shù)方法實(shí)現(xiàn)頻率測(cè)量時(shí),外部的待測(cè)信號(hào)為單片機(jī)定時(shí)/計(jì)數(shù)器的計(jì)數(shù)源,利用軟件延時(shí)程序?qū)崿F(xiàn)計(jì)數(shù)閘門。頻率計(jì)的工作過程為:定時(shí)/計(jì)數(shù)器的計(jì)數(shù)寄存器清0,運(yùn)行控制位TR置1,啟動(dòng)定時(shí)/計(jì)數(shù)器工作;運(yùn)行軟件延時(shí)程序,同時(shí)定時(shí)/計(jì)數(shù)器對(duì)外部的待測(cè)信號(hào)進(jìn)行計(jì)數(shù),延時(shí)結(jié)束時(shí)TR清0,停止計(jì)數(shù)。從計(jì)數(shù)寄存器讀出測(cè)量數(shù)據(jù),測(cè)量數(shù)據(jù)在完成數(shù)據(jù)處理后,由顯示電路顯示測(cè)量結(jié)果。

測(cè)量結(jié)果的顯示格式采用科學(xué)計(jì)數(shù)法,即有效數(shù)字乘以10為底的冪。這里設(shè)計(jì)的頻率計(jì)用5位數(shù)碼管顯示測(cè)量結(jié)果:前3位為測(cè)量結(jié)果的有效數(shù)字;第4位為指數(shù)的符號(hào);第5位為指數(shù)的值。采用這種顯示格式既保證了測(cè)量結(jié)果的顯示精度,又保證了測(cè)量結(jié)果的顯示范圍(0.100 Hz~9.99 MHz)。

頻率計(jì)測(cè)量量程自動(dòng)轉(zhuǎn)換的過程由頻率計(jì)測(cè)量量程的高端開始。由于只顯示3位有效數(shù)字,測(cè)量量程的高端計(jì)數(shù)閘門不需要太寬,例如在進(jìn)入計(jì)數(shù)器的信號(hào)頻率范圍在10.0~99.9 kHz,計(jì)數(shù)閘門寬度為10 ms即可。頻率計(jì)開始工作時(shí)使用計(jì)數(shù)方法實(shí)現(xiàn)頻率測(cè)量,并使計(jì)數(shù)閘門寬度為最窄,完成測(cè)量后判斷測(cè)量結(jié)果是否具有3位有效數(shù)字,如果成立,將結(jié)果送去顯示,完成測(cè)量工作;否則將計(jì)數(shù)閘門寬度擴(kuò)大10倍,繼續(xù)進(jìn)行測(cè)量判斷,直到計(jì)數(shù)閘門寬度達(dá)到1 s,這時(shí)對(duì)應(yīng)的進(jìn)入單片機(jī)的待測(cè)信號(hào)頻率范圍為100~999 Hz。如果測(cè)量結(jié)果仍不具有3位有效數(shù)字,頻率計(jì)則使用定時(shí)方法實(shí)現(xiàn)頻率測(cè)量。

定時(shí)方法測(cè)量的是待測(cè)信號(hào)的周期,這種方法只設(shè)一種量程,測(cè)量結(jié)果通過浮點(diǎn)數(shù)運(yùn)算模塊將信號(hào)周期轉(zhuǎn)換成對(duì)應(yīng)的頻率值,再將結(jié)果送去顯示。無論采用何種方式,只要完成一次測(cè)量,頻率計(jì)自動(dòng)開始下一個(gè)測(cè)量循環(huán),因此該頻率計(jì)具有連續(xù)測(cè)量的功能,同時(shí)實(shí)現(xiàn)量程的自動(dòng)轉(zhuǎn)換。

3信號(hào)預(yù)處理電路

信號(hào)預(yù)處理電路如圖1所示,他由4級(jí)電路構(gòu)成。第1級(jí)為零偏置放大器,當(dāng)輸入信號(hào)為零或者為負(fù)電壓時(shí),三極管截止,輸出高電平;當(dāng)輸入信號(hào)為正電壓時(shí),三極管導(dǎo)通,輸出電壓隨著輸入電壓的上升而下降。零偏置放大器可把正負(fù)交替波形變換成單向脈沖,這使得頻率計(jì)既可以測(cè)量脈沖信號(hào)的頻率,也可以測(cè)量正弦波信號(hào)的頻率。放大器的放大能力實(shí)現(xiàn)了對(duì)小信號(hào)的測(cè)量,本電路可以測(cè)量幅度≥0.5 V的正弦波或脈沖波待測(cè)信號(hào)。三極管應(yīng)采用開關(guān)三極管以保證放大器具有良好的高頻響應(yīng)。第2級(jí)采用帶施密特觸發(fā)器的反相器7414,他用于把放大器生成的單向脈沖變換成與TTL/CMOS電平相兼容的方波。第3級(jí)采用十進(jìn)制同步計(jì)數(shù)器74160,第2級(jí)輸出的方波加到74160的CLK,當(dāng)從74160的TC輸出可實(shí)現(xiàn)10分頻(多個(gè)74160的級(jí)連可以進(jìn)一步擴(kuò)展測(cè)頻范圍)。第4級(jí)同樣采用十進(jìn)制同步計(jì)數(shù)器74160,第3級(jí)輸出的方波加到他的CLK,當(dāng)從他的Q0輸出既可實(shí)現(xiàn)2分頻,且其輸出為對(duì)稱方波,方波寬度等于待測(cè)信號(hào)的周期,從而為測(cè)量信號(hào)周期提供基礎(chǔ)。

4系統(tǒng)軟件設(shè)計(jì)

頻率計(jì)開始工作或者完成一次頻率測(cè)量,系統(tǒng)軟件都進(jìn)行測(cè)量初始化。測(cè)量初始化模塊設(shè)置堆棧指針(SP)、工作寄存器、中斷控制和定時(shí)/計(jì)數(shù)器的工作方式。定時(shí)/計(jì)數(shù)器的工作首先被設(shè)置為計(jì)數(shù)器方式,即用來測(cè)量信號(hào)頻率。首先定時(shí)/計(jì)數(shù)器的計(jì)數(shù)寄存器清0,運(yùn)行控制位TR置1,啟動(dòng)對(duì)待測(cè)信號(hào)的計(jì)數(shù)。計(jì)數(shù)閘門由軟件延時(shí)程序?qū)崿F(xiàn),從計(jì)數(shù)閘門的最小值(即測(cè)量頻率的高量程)開始測(cè)量,計(jì)數(shù)閘門結(jié)束時(shí)TR清0,停止計(jì)數(shù)。計(jì)數(shù)寄存器中的數(shù)值經(jīng)過數(shù)制轉(zhuǎn)換程序從十六進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)。判斷該數(shù)的最高位,若該位不為0,滿足測(cè)量數(shù)據(jù)有效位數(shù)的要求,測(cè)量值和量程信息一起送到顯示模塊;若該位為0,將計(jì)數(shù)閘門的寬度擴(kuò)大10倍,重新對(duì)待測(cè)信號(hào)的計(jì)數(shù),直到滿足測(cè)量數(shù)據(jù)有效位數(shù)的要求。

當(dāng)上述測(cè)量判斷過程直到計(jì)數(shù)閘門寬度達(dá)到1 s(對(duì)應(yīng)的頻率測(cè)量范圍為100~999 Hz)時(shí)測(cè)量結(jié)果仍不具有3位有效數(shù)字,頻率計(jì)則使用定時(shí)方法測(cè)量待測(cè)信號(hào)的周期。定時(shí)/計(jì)數(shù)器的工作被設(shè)置為定時(shí)器方式,定時(shí)/計(jì)數(shù)器的計(jì)數(shù)寄存器清0,在判斷待測(cè)信號(hào)的上跳沿到來后,運(yùn)行控制位TR置為1,以單片機(jī)工作周期為單位進(jìn)行計(jì)數(shù),直至信號(hào)的下跳沿到來,運(yùn)行控制位TR清0,停止計(jì)數(shù)。16位定時(shí)/計(jì)數(shù)器的最高計(jì)數(shù)值為65 535,當(dāng)待測(cè)信號(hào)的頻率較低時(shí),定時(shí)/計(jì)數(shù)器將發(fā)生溢出。產(chǎn)生溢出時(shí),程序進(jìn)入定時(shí)器中斷服務(wù)程序,對(duì)溢出次數(shù)進(jìn)行計(jì)數(shù)。待測(cè)信號(hào)的周期由3個(gè)字節(jié)組成:定時(shí)/計(jì)數(shù)器溢出次數(shù)、定時(shí)/計(jì)數(shù)器的高8位和低8位。信號(hào)的頻率f與信號(hào)的周期T之間的關(guān)系為:f=1/T

完成信號(hào)的周期測(cè)量后,需要做一次倒數(shù)運(yùn)算才能獲得信號(hào)的頻率。為提高運(yùn)算精度,采用浮點(diǎn)數(shù)算術(shù)運(yùn)算。浮點(diǎn)數(shù)由3個(gè)字節(jié)組成:第1字節(jié)最高位為數(shù)符,其余7位為階碼;第2字節(jié)為尾數(shù)的高字節(jié);第3字節(jié)為尾數(shù)的低字節(jié)。待測(cè)信號(hào)周期的3個(gè)字節(jié)定點(diǎn)數(shù)通過截取高16位、設(shè)置數(shù)符和計(jì)算階碼轉(zhuǎn)換為上述格式的浮點(diǎn)數(shù)。然后浮點(diǎn)數(shù)算術(shù)運(yùn)算對(duì)其進(jìn)行處理,獲得用浮點(diǎn)數(shù)格式表達(dá)的信號(hào)頻率值。再通過浮點(diǎn)數(shù)到BCD碼轉(zhuǎn)換模塊把用浮點(diǎn)數(shù)格式表達(dá)的信號(hào)頻率值變換成本頻率計(jì)的顯示格式,送到顯示模塊顯示待測(cè)信號(hào)的頻率值。完成顯示后,頻率計(jì)都開始下一次信號(hào)的頻率測(cè)量。系統(tǒng)軟件流程圖如圖2所示。

系統(tǒng)軟件設(shè)計(jì)采用模塊化設(shè)計(jì)方法。整個(gè)系統(tǒng)由初始化模塊、顯示模塊和信號(hào)頻率頻率測(cè)量模塊等各種功能模塊組成。上電后,進(jìn)入系統(tǒng)初始化模塊,系統(tǒng)軟件開始運(yùn)行。在執(zhí)行過程中,根據(jù)運(yùn)行流程分別調(diào)用各個(gè)功能模塊完成頻率測(cè)量、量程自動(dòng)切換、周期測(cè)量和測(cè)量結(jié)果顯示。

5實(shí)測(cè)結(jié)果和誤差分析

為了衡量這次設(shè)計(jì)的頻率計(jì)的工作情況和測(cè)量精度,我們對(duì)系統(tǒng)進(jìn)行了試驗(yàn)。以南京電訊儀器廠制造的E312B型通用計(jì)數(shù)器為基準(zhǔn),用這次設(shè)計(jì)的頻率計(jì)對(duì)信號(hào)源進(jìn)行了測(cè)量,測(cè)量數(shù)據(jù)如表1所示。

如圖1信號(hào)預(yù)處理電路所示,待測(cè)信號(hào)在進(jìn)入單片機(jī)之前經(jīng)過了10×2次分頻。頻率計(jì)以進(jìn)入單片機(jī)時(shí)的信號(hào)頻率=100 Hz為基準(zhǔn)(即待測(cè)信號(hào)頻率為2 kHz),大于此頻率采用頻率測(cè)量,小于此頻率采用周期測(cè)量。由表1頻率測(cè)量對(duì)比表可以看出,頻率測(cè)量的測(cè)量精度大于周期測(cè)量的測(cè)量精度。

采用計(jì)數(shù)法實(shí)現(xiàn)頻率測(cè)量,誤差來源主要有計(jì)數(shù)誤差和閘門誤差2部分。誤差表達(dá)式為:

其中:N為計(jì)數(shù)值,t為閘門時(shí)間。

閘門時(shí)間相對(duì)誤差dt/t主要取決于單片機(jī)晶振的頻率穩(wěn)定度,選擇合適的石英晶體和振蕩電路,誤差一般可<10-6。當(dāng)僅顯示3位有效數(shù)字時(shí),該項(xiàng)誤差可以忽略。對(duì)于dN/N部分,無論閘門時(shí)間長短,計(jì)數(shù)法測(cè)頻總存在1個(gè)單位的量化誤差。在表1中,待測(cè)信號(hào)頻率>2 kHz時(shí)的誤差就來源于計(jì)數(shù)誤差。增加顯示的有效數(shù)字位數(shù)可降低該項(xiàng)誤差的影響。

當(dāng)待測(cè)信號(hào)頻率<2 kHz時(shí),直接測(cè)量的是信號(hào)的周期。周期測(cè)量的誤差表達(dá)式為:

其中:dN/N為量化誤差,dτ0/τ0為單片機(jī)晶振的頻率穩(wěn)定度。

進(jìn)行周期測(cè)量時(shí)進(jìn)入單片機(jī)的信號(hào)頻率<100 Hz,使用12 MHz時(shí)鐘時(shí)的最小計(jì)數(shù)值為10 000。當(dāng)僅顯示3位有效數(shù)字時(shí),該項(xiàng)誤差現(xiàn)在也可以忽略。待測(cè)信號(hào)的周期測(cè)量值通過浮點(diǎn)數(shù)運(yùn)算變換成頻率值,這時(shí)的誤差來源于浮點(diǎn)數(shù)運(yùn)算和數(shù)制轉(zhuǎn)換所帶來的誤差。

6結(jié)語

介紹了一種基于單片機(jī)89C51制作的頻率計(jì)的設(shè)計(jì)方法,所制作的頻率計(jì)需要外圍器件較少,適宜用于嵌入式系統(tǒng)。該頻率計(jì)應(yīng)用周期測(cè)量和相應(yīng)的數(shù)學(xué)處理實(shí)現(xiàn)低頻段的頻率測(cè)量,因此很容易擴(kuò)展實(shí)現(xiàn)信號(hào)的周期測(cè)量和占空比測(cè)量。該頻率計(jì)被應(yīng)用于筆者設(shè)計(jì)的“高頻實(shí)驗(yàn)裝置”之中,用來對(duì)LC振蕩器和RC振蕩器輸出信號(hào)的頻率穩(wěn)定度進(jìn)行測(cè)量,取得良好的應(yīng)用效果。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 單片機(jī)
    +關(guān)注

    關(guān)注

    6026

    文章

    44459

    瀏覽量

    631235
  • 頻率計(jì)
    +關(guān)注

    關(guān)注

    8

    文章

    174

    瀏覽量

    44285
  • 計(jì)數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2248

    瀏覽量

    94195
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    頻率計(jì)測(cè)試波形如何設(shè)置?

    頻率計(jì)是一種用于測(cè)量信號(hào)頻率的電子測(cè)量儀器。它可以測(cè)量各種周期性波形的頻率,包括正弦波、方波等。
    的頭像 發(fā)表于 05-30 16:35 ?581次閱讀

    頻率計(jì)的使用方法

    頻率計(jì),作為電子測(cè)量領(lǐng)域的重要工具,主要用于測(cè)量信號(hào)的頻率。在現(xiàn)代電子工程、通信、航空航天等領(lǐng)域,頻率計(jì)的應(yīng)用日益廣泛。然而,對(duì)于許多初學(xué)者或新用戶來說,如何正確使用頻率計(jì)可能是一個(gè)挑
    的頭像 發(fā)表于 05-15 16:52 ?854次閱讀

    頻率計(jì)的工作原理和分類詳解

    在電子工程、通信和科研領(lǐng)域,頻率計(jì)是一種不可或缺的電子測(cè)量儀器。它以其高精度、高速度和高分辨率的頻率測(cè)量能力,為科研和工程實(shí)踐提供了極大的便利。本文將深入解析頻率計(jì)的工作原理,并詳細(xì)介紹其分類,以期為讀者提供全面而深入的了解。
    的頭像 發(fā)表于 05-15 16:52 ?1962次閱讀

    頻率計(jì)的基本概念和組成部分

    在現(xiàn)代電子科技領(lǐng)域,信號(hào)的頻率測(cè)量是不可或缺的一部分。頻率計(jì),作為專門用于測(cè)量信號(hào)頻率的電子設(shè)備,其精準(zhǔn)度和可靠性對(duì)于電子設(shè)備的調(diào)試、維護(hù)和研發(fā)都至關(guān)重要。本文將詳細(xì)介紹頻率計(jì)的基本概
    的頭像 發(fā)表于 05-15 16:52 ?865次閱讀

    頻率計(jì)的基本原理及分類 頻率計(jì)的功能特點(diǎn)及應(yīng)用場(chǎng)景

    在現(xiàn)代電子技術(shù)領(lǐng)域中,頻率是一個(gè)極其重要的物理量。無論是無線通信、雷達(dá)探測(cè),還是音頻處理、電子測(cè)量,頻率的準(zhǔn)確測(cè)量和控制都至關(guān)重要。因此,頻率計(jì)作為專門用于測(cè)量信號(hào)頻率的儀器,其性能和
    的頭像 發(fā)表于 05-10 15:27 ?2892次閱讀

    頻率計(jì)的使用方法和注意事項(xiàng)

    首先,選擇與被測(cè)信號(hào)頻率范圍相匹配的頻率計(jì),并確保其工作正常。
    的頭像 發(fā)表于 05-08 18:21 ?1611次閱讀

    頻率計(jì)有哪些作用

    頻率計(jì)又稱為頻率計(jì)數(shù)器,是一種專門對(duì)被測(cè)信號(hào)頻率進(jìn)行測(cè)量的電子測(cè)量儀器。其主要由四個(gè)部分構(gòu)成:時(shí)基(T)電路、輸入電路、計(jì)數(shù)顯示電路以及控制電路。又稱為頻率計(jì)數(shù)器,是一種專門對(duì)被測(cè)信號(hào)
    的頭像 發(fā)表于 05-08 18:16 ?1187次閱讀

    頻率計(jì)的原理

    公司主營出售/回收:示波器、頻譜分析儀、網(wǎng)絡(luò)分析儀、信號(hào)發(fā)生器、萬用表/示波器校準(zhǔn)儀、手機(jī)綜合測(cè)試儀、噪聲系數(shù)分析儀、數(shù)據(jù)采集器、NI-GPIB卡、阻抗/LCR測(cè)試儀,音頻分析儀、藍(lán)牙/WANL測(cè)試儀、電源、電池測(cè)試儀、探頭/配件、天饋線測(cè)試儀、光譜分析儀 頻率計(jì)、功率計(jì)
    的頭像 發(fā)表于 04-03 17:00 ?633次閱讀

    基于FPGA設(shè)計(jì)頻率計(jì)方案介紹分享

    off。 2頻率計(jì)介紹 頻率計(jì)又稱為[頻率計(jì)數(shù)器],是一種專門對(duì)被測(cè)信號(hào)頻率進(jìn)行測(cè)量的電子測(cè)量儀器。頻率計(jì)主要由四個(gè)部分構(gòu)成:時(shí)基(T)電
    發(fā)表于 03-31 16:22

    基于51單片機(jī)的智能頻率計(jì)畢設(shè),C代碼,仿真,原理圖,論文

    ; (3)測(cè)量誤差:低于0.1%; (4)頻率測(cè)量結(jié)果采用LCD1602液晶顯示; 系統(tǒng)概述 本設(shè)計(jì)給出了一種以AT89C52單片機(jī)為控制核心的數(shù)字頻率計(jì)設(shè)計(jì)方案。方案由6個(gè)部分組成,
    發(fā)表于 03-18 12:57

    基于單片機(jī)的數(shù)字頻率計(jì)實(shí)現(xiàn)論文分享

     頻率計(jì)測(cè)頻原理方框圖如圖1所示。被測(cè)輸入信號(hào)通過脈沖形成電路進(jìn)行放大與整形(可由放大器與門電路組成),然后送到單片機(jī)入口,單片機(jī)計(jì)數(shù)脈沖的輸入個(gè)數(shù)。計(jì)數(shù)結(jié)果經(jīng)LED數(shù)碼管顯示,從而得到被測(cè)信號(hào)
    發(fā)表于 02-15 16:17 ?489次閱讀
    基于<b class='flag-5'>單片機(jī)</b>的數(shù)字<b class='flag-5'>頻率計(jì)</b>的<b class='flag-5'>實(shí)現(xiàn)</b>論文分享

    是德頻率計(jì)53220A-開機(jī)自檢報(bào)錯(cuò)維修

    近日某院校送修一臺(tái)是德頻率計(jì)53220A,客戶反饋儀器開機(jī)自檢報(bào)錯(cuò),對(duì)儀器進(jìn)行初步檢測(cè),確定與客戶描述故障一致。本期將為大家分享本維修案例。 下面就是是德-53220A維修情況 ? 是德頻率計(jì)
    的頭像 發(fā)表于 01-10 16:20 ?424次閱讀
    是德<b class='flag-5'>頻率計(jì)</b>53220A-開機(jī)自檢報(bào)錯(cuò)維修

    微波數(shù)字頻率計(jì)的基本工作原理是什么?

    微波數(shù)字頻率計(jì)的基本工作原理是什么? 微波數(shù)字頻率計(jì)是一種用于測(cè)量微波信號(hào)頻率的儀器。它通過將輸入信號(hào)與參考信號(hào)混合,然后通過數(shù)字處理技術(shù)來測(cè)量頻率。下面將詳細(xì)介紹微波數(shù)字
    的頭像 發(fā)表于 12-21 15:37 ?684次閱讀

    頻率計(jì)的工作原理

    頻率計(jì)是電子硬件工程師常常會(huì)用到的一個(gè)電子儀器。它被用來測(cè)量信號(hào)周期,頻率特征等功能的獨(dú)立器件,是一種專門對(duì)被測(cè)信號(hào)頻率進(jìn)行測(cè)量的測(cè)量儀器。 不過很多人應(yīng)該也知道,示波器也是可以測(cè)量頻率
    的頭像 發(fā)表于 11-07 11:30 ?1600次閱讀
    <b class='flag-5'>頻率計(jì)</b>的工作原理

    PC機(jī)單片機(jī)機(jī)實(shí)時(shí)通信的設(shè)計(jì)與實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《PC機(jī)單片機(jī)機(jī)實(shí)時(shí)通信的設(shè)計(jì)與實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 10-31 09:55 ?3次下載
    PC<b class='flag-5'>機(jī)</b>與<b class='flag-5'>單片機(jī)</b>多<b class='flag-5'>機(jī)</b>實(shí)時(shí)通信的設(shè)計(jì)與<b class='flag-5'>實(shí)現(xiàn)</b>