0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

半導(dǎo)體材料的市場格局

旺材芯片 ? 來源:半導(dǎo)體行業(yè)觀察 ? 作者:半導(dǎo)體行業(yè)觀察 ? 2020-08-31 11:39 ? 次閱讀

半導(dǎo)體材料是半導(dǎo)體產(chǎn)業(yè)鏈的重要支撐產(chǎn)業(yè),按應(yīng)用環(huán)節(jié)劃分為晶圓制造材料和封裝材料。整個(gè)半導(dǎo)體產(chǎn)業(yè)鏈主要包括IC的設(shè)計(jì)、晶圓制造以及封裝測試等環(huán)節(jié),半導(dǎo)體材料主要應(yīng)用在集成電路的制造和封裝測試等領(lǐng)域。集成電路的制造和封測對材料和裝備需求巨大。從材料角度看,涉及到大硅片光刻膠、掩膜版、特種氣體等原材料;從裝備角度看,涉及到光刻機(jī)、刻蝕機(jī)、PVD、CVD等各種核心設(shè)備。而本文主要圍繞晶圓制造材料角度展開。

集成電路產(chǎn)業(yè)鏈

半導(dǎo)體材料市場概覽

集成電路生產(chǎn)需要用到包括硅基材、CMP拋光材料、高純試劑(用于顯影、清洗、剝離、刻蝕)、特種氣體、光刻膠、掩膜版、封裝材料等多種電子化學(xué)品材料。根據(jù)Prismark數(shù)據(jù),全球集成電路制造成本中,電子化學(xué)品占集成電路制造成本的比重約為20%。

集成電路生產(chǎn)用晶圓制造材料 集成電路晶圓制造流程:6個(gè)獨(dú)立的生產(chǎn)區(qū)構(gòu)成完整晶圓制造流程 (1)擴(kuò)散:進(jìn)行高溫工藝和薄膜淀積的區(qū)域,將硅片徹底清洗并進(jìn)行自然氧化; (2)光刻:對硅片進(jìn)行預(yù)處理、涂膠、曝光、顯影,隨后清洗硅片再次烘干; (3)蝕刻:用高純試劑(氫氟酸、鹽酸等)進(jìn)行刻蝕,保留設(shè)計(jì)好的圖案; (4)離子注入:注入離子(磷、硼),高溫?cái)U(kuò)散,形成集成器件; (5)薄膜生長:進(jìn)行各個(gè)步驟當(dāng)中介質(zhì)層和金屬層的淀積; (6)拋光:拋光材料打磨,并再次清洗插入電極等后續(xù)處理,進(jìn)行WAT測試。

晶圓制造材料在半導(dǎo)體制造流程中的應(yīng)用環(huán)節(jié) 全球半導(dǎo)體材料市場跟隨半導(dǎo)體市場呈周期波動。根據(jù)SEMI數(shù)據(jù)顯示,2009-2011年,受半導(dǎo)體市場規(guī)模持續(xù)擴(kuò)張影響,全球半導(dǎo)體材料迎來快速增長,市場規(guī)模由346.4億美元提升至478.8億美元。2012-2017年,半導(dǎo)體材料市場進(jìn)入震蕩調(diào)整階段,市場規(guī)模維持在420-470億美元。2018年市場再次迎來爆發(fā),同比2017年提升50億市場規(guī)模。2019年,半導(dǎo)體材料市場維持穩(wěn)定,全球銷售額約為521.1億美元,其中晶圓制造材料約為328億美元,封裝材料約為192億美元。

2008-2019年全球半導(dǎo)體材料市場規(guī)模 而在過去幾年,中國半導(dǎo)體材料市場穩(wěn)步增長。 根據(jù)SEMI數(shù)據(jù),2009-2019年,中國半導(dǎo)體材料市場從32.6億美元提升至86.9億美元,年均復(fù)合增長率(CAGR)達(dá)到10%。整體來看,我國半導(dǎo)體材料的國產(chǎn)化率仍處于較低水平,進(jìn)口替代空間大。此外,隨著國內(nèi)晶圓廠的投資完成以及本土先進(jìn)制程推進(jìn),國內(nèi)半導(dǎo)體材料的市場有望持續(xù)增長,給本土材料廠商帶來較大的導(dǎo)入機(jī)會。

2008-2019年中國半導(dǎo)體材料市場規(guī)模(億美元) 從半導(dǎo)體材料市場的具體構(gòu)成來看,根據(jù)SEMI數(shù)據(jù),大硅片占比高達(dá)38%,電子特氣與掩膜版均占比13%位居次席,其余市場份額由光刻膠、靶材、CMP拋光材料等產(chǎn)品占據(jù)。

2018年全球半導(dǎo)體材料市場構(gòu)成

硅片:半導(dǎo)體材料市場的半壁江山

如上圖所示,硅片是半導(dǎo)體材料中最重要的組成。而晶圓材料的發(fā)展歷程大致可分為三代:第一代為鍺、硅為代表;第二代主要是砷化鎵、磷化銦;第三代為氮化鎵、碳化硅等。目前大部分晶圓仍以硅為主要原料。硅晶圓的加工可分為硅提純、拉晶、晶棒測試、外徑研磨、切片等流程。

硅晶圓制造過程 硅晶圓為IC的基底,朝大尺寸方向發(fā)展。硅片主要使用在半導(dǎo)體集成電路中,用來制作硅晶圓當(dāng)成集成電路的基底。按照尺寸大小可分為6英寸、8英寸和12英寸,尺寸越大,加工難度也越大。由于集成電路的集成度越來越高,因此對大尺寸硅片的需求量越來越大。硅片總體需求和集成電路芯片需求高度一致。目前趨勢是6英寸硅片市場份額已經(jīng)較低,12英寸硅片市場需求強(qiáng)勁,全球范圍內(nèi)保持快速增長。

全球硅晶圓朝大尺寸方向發(fā)展 半導(dǎo)體硅片上游材料為電子級多晶硅,德國wacker、美國hemlock、日本丸紅株式會社等境外企業(yè)占據(jù)主要市場。國內(nèi)鑫華半導(dǎo)體、黃河水電已實(shí)現(xiàn)穩(wěn)定量產(chǎn)電子級多晶硅,但產(chǎn)品多用于生產(chǎn)150-200mm(6英寸、8英寸)硅片,更大尺寸硅片的原材料仍主要依靠進(jìn)口。 半導(dǎo)體硅片下游是各類電子元器件。其中200mm(8英寸)及以下硅片終端應(yīng)用領(lǐng)域主要為移動通信、汽車電子、物聯(lián)網(wǎng)、工業(yè)電子等。300mm(12英寸)硅片需求主要來源于智能手機(jī)、計(jì)算機(jī)、云計(jì)算、人工智能、SSD(固態(tài)存儲硬盤)。

全球硅片出貨面積及單位價(jià)格走勢 半導(dǎo)體硅片市場景氣與電子工業(yè)需求深度綁定。2009年經(jīng)濟(jì)危機(jī)后硅片量價(jià)齊跌,2010年由于智能手機(jī)放量硅片量價(jià)增長有所反彈。2011年至2016年,全球經(jīng)濟(jì)乏力,硅片價(jià)格持續(xù)下跌,出貨量增長主要由硅片體積增加所致,市場規(guī)模略有下降。2017年后受益于下游計(jì)算機(jī)、移動通信、固態(tài)硬盤、工業(yè)電子的需求上漲,硅片市場實(shí)現(xiàn)量價(jià)齊升。

全球硅片市場規(guī)模 全球硅片市場巨頭壟斷,中國大陸地區(qū)廠商體量較小。 競爭格局方面,信越化學(xué)、住友勝高、世創(chuàng)、環(huán)球晶圓為全球四家主流供應(yīng)商,市場合計(jì)占比80%以上。中國大陸地區(qū)廠商以滬硅產(chǎn)業(yè)、中環(huán)股份為首,2018年滬硅產(chǎn)業(yè)占全球硅片市場2.18%,相比全球硅片巨頭體量尚小。

2018年全球半導(dǎo)體硅片競爭格局 國內(nèi)硅片廠商加速追趕,滬硅產(chǎn)業(yè)12寸硅片一馬當(dāng)先。目前國內(nèi)主要有滬硅產(chǎn)業(yè)、中環(huán)股份、超硅半導(dǎo)體、金瑞泓等企業(yè)進(jìn)入大硅片領(lǐng)域。

國內(nèi)硅片主要企業(yè)產(chǎn)品情況 它山之石:信越化學(xué)作為日本有機(jī)硅工業(yè)“國產(chǎn)技術(shù)”的典范,信越化學(xué)的成功離不開以下幾個(gè)方面的原因。 強(qiáng)大的研發(fā)力度和研發(fā)能力,信越化學(xué)共設(shè)有7家研發(fā)中心,是研發(fā)內(nèi)生增長的典范。信越化學(xué)通過自行生產(chǎn)金屬硅,保障了主原料的穩(wěn)定性,確立了從原料開始的一貫式生產(chǎn)體制。 國家的大力支持,日本政府在行業(yè)發(fā)展前期頗具戰(zhàn)略眼光,給予多種優(yōu)惠政策,通產(chǎn)省1989年制定了160億日元的“硅類高分子材料研究開發(fā)基本計(jì)劃”支持硅材料的研發(fā),這一計(jì)劃為以信越化學(xué)為首的有機(jī)硅生產(chǎn)企業(yè)提供了資金和技術(shù)的大力支持。

光刻膠:高壁壘,機(jī)會大

光刻膠是利用化學(xué)反應(yīng)進(jìn)行圖像轉(zhuǎn)移的媒體,將所需要的微細(xì)圖形從掩模版轉(zhuǎn)移到待加工基片上的圖形轉(zhuǎn)移介質(zhì)。光刻膠被廣泛應(yīng)用于光電信息產(chǎn)業(yè)的微細(xì)圖形線路的加工制作,是微細(xì)加工技術(shù)的關(guān)鍵性材料。在光刻工藝中,光刻膠被均勻涂布在硅片、玻璃和金屬等不同的襯底上,經(jīng)曝光、顯影和蝕刻等工序?qū)⒀谀ぐ嫔系膱D形轉(zhuǎn)移到薄膜上,形成與掩膜版完全對應(yīng)的幾何圖形。

光刻膠應(yīng)用原理 光刻膠原材料主要為樹脂、溶劑和其他添加劑。其中溶劑質(zhì)量占比最大,一般在80%以上。其他添加劑質(zhì)量占比雖不足5%,卻是決定光刻膠特有性質(zhì)的關(guān)鍵材料,包括光敏劑、表面活性劑等材料。光刻膠可根據(jù)其下游應(yīng)用領(lǐng)域分為半導(dǎo)體光刻膠、面板光刻膠和PCB光刻膠三類,半導(dǎo)體光刻膠和面板光刻膠市場規(guī)模分別為13.73億美元,15.87億美元。

光刻膠的發(fā)展是摩爾定律運(yùn)行的核心驅(qū)動力。半導(dǎo)體工業(yè)集成電路的尺寸越來越小,集成度越來越高,并能夠按照摩爾定律向前發(fā)展,其內(nèi)在驅(qū)動力就是光刻技術(shù)的不斷深入發(fā)展。集成電路水平已由微米級(2μm-1μm)、亞微米級(1-0.35μm)、深亞微米級(0.35μm以下)、納米級(90-22nm)甚至進(jìn)入14-7nm階段。 對光刻膠分辨率等性能要求不斷提高,光刻技術(shù)隨著集成電路的發(fā)展經(jīng)歷了從G線(436nm)光刻,H線(405nm)光刻,I線(365nm)光刻,到深紫外線DUV光刻(KrF248nm和ArF193nm)、193nm浸沒式加多重成像技術(shù)(32nm-7nm),在到極端紫外線(EUV, <13.5nm)光刻的發(fā)展,甚至采用非光學(xué)光刻(電子束曝光、離子束曝光),以相應(yīng)波長為感光波長的各類光刻膠也應(yīng)用而生。目前,KrF/ArF仍是主流的加工材料。

集成電路光刻膠產(chǎn)品技術(shù)路線演化 半導(dǎo)體光刻膠可根據(jù)加工芯片的制程從大到小分為g線/i線光刻膠、Krf光刻膠、Arf光刻膠(干法及濕法)和EUV光刻膠。各類光刻膠中雖然各組分含量存在差異,但樹脂含量一般在 20%以下,總體來適用波長越短的光刻膠,其樹脂含量越低,溶劑含量越高。

半導(dǎo)體用光刻膠應(yīng)用制程及組分 銷售量方面,g線/i線光刻膠是半導(dǎo)體用光刻膠需求主要構(gòu)成,占比達(dá)50%以上,預(yù)計(jì)2022年需求量將達(dá)450立方米以上,KrF、ArF光刻膠2022年需求量預(yù)計(jì)分別為200.77立方米和103.56立方米。銷售額方面,ArF光刻膠由于技術(shù)附加值高,價(jià)格昂貴,占據(jù)最大銷售份額。

全球半導(dǎo)體用光刻膠銷售額(億美元) 根據(jù)富士經(jīng)濟(jì)數(shù)據(jù),預(yù)計(jì)2022年ArF銷售額將達(dá)6.74億美元,g線/i線光刻膠和KrF光刻膠銷售額預(yù)計(jì)可達(dá)3.80億美元和3.88億美元。EUV、光刻技術(shù)目前尚未普及,僅臺積電和三星掌握,EUV光刻膠市場規(guī)模較小。

2018年全球g線/i線光刻膠競爭格局 日本企業(yè)在半導(dǎo)體光刻膠領(lǐng)域占據(jù)絕對優(yōu)勢。半導(dǎo)體光刻膠主要生產(chǎn)企業(yè)包括日本東京應(yīng)化、JSR、住友化學(xué)、信越化學(xué);韓國東進(jìn)世美肯;美國陶氏杜邦,其中日本企業(yè)占據(jù)約70%市場份額。分產(chǎn)品看,東京應(yīng)化在g線/i線和Krf光刻膠領(lǐng)域居龍頭地位,市場份額分別達(dá)到27.5%和32.7%。JSR在Arf光刻膠領(lǐng)域市占率最高,為25.6%。

2018年全球Krf光刻膠競爭格局

2018年全球Arf線光刻膠競爭格局 借鑒日本電子化學(xué)品企業(yè)TOK發(fā)展歷程,其于1979年涉足光刻膠化學(xué)品領(lǐng)域,起初為負(fù)性光刻膠的銷售, 2000年將TOK半導(dǎo)體成像技術(shù)增強(qiáng)型集成光刻膠系統(tǒng)商業(yè)化,2009年開始生產(chǎn)ArF,以響應(yīng)ArF光刻膠需求。在技術(shù)積累完成后,TOK采取了外延式布局的策略,將市場滲透到中國臺灣、韓國等地區(qū),其光刻膠業(yè)務(wù)實(shí)現(xiàn)快速增長。 綜合上述分析,光刻膠等技術(shù)壁壘極高的行業(yè),實(shí)現(xiàn)技術(shù)層面的突破是基礎(chǔ)、其次,需不斷改進(jìn)工藝,滿足半導(dǎo)體行業(yè)快速發(fā)展的需要。由于光刻膠等行業(yè)認(rèn)證時(shí)間較長,客戶不會輕易更換供應(yīng)商,因此進(jìn)入主流供應(yīng)鏈?zhǔn)菢O其必要的。國內(nèi)光刻膠生產(chǎn)商未來有望把握中國半導(dǎo)體行業(yè)進(jìn)口替代契機(jī),實(shí)現(xiàn)快速發(fā)展。

電子特氣:國產(chǎn)替代程度相對較高

電子特種氣體種類繁多,是電子工業(yè)重要的原材料之一。電子特氣是指用于半導(dǎo)體及相關(guān)電子產(chǎn)品生產(chǎn)的特種氣體,其按不同的應(yīng)用途徑可以分為摻雜用氣體、外延用氣體、離子注入氣、發(fā)光二極管用氣、刻蝕用氣體、化學(xué)氣相沉積氣和平衡氣等。在半導(dǎo)體工業(yè)中應(yīng)用的有110余種單元特種氣體,其中常用的有超過30種。

電子特氣分類介紹 集成電路、新型顯示是電子特種氣體主要應(yīng)用領(lǐng)域。半導(dǎo)體生產(chǎn)中幾乎每個(gè)環(huán)節(jié)都要用到電子特氣,因此被稱為半導(dǎo)體制造的“血液”和“糧食”。電子特氣的純度直接決定了產(chǎn)品的性能、集成度和成品率。電子特氣純度每提高一個(gè)數(shù)量級,都能推動半導(dǎo)體器件產(chǎn)生質(zhì)的飛躍。

IC制造各環(huán)節(jié)所需電子特氣介紹 電子特氣的純度對半導(dǎo)體及相關(guān)電子產(chǎn)品的生產(chǎn)至關(guān)重要。電子特氣中水汽、氧等雜質(zhì)組分易使半導(dǎo)體表面形成氧化膜,影響電子器件的壽命,含有的顆粒雜質(zhì)會造成半導(dǎo)體短路及線路損壞,改變半導(dǎo)體的性能。半導(dǎo)體工業(yè)的發(fā)展對產(chǎn)品的生產(chǎn)精度要求越來越高。以集成電路制造為例,其電路線寬已經(jīng)從最初的毫米級,到微米級甚至納米級,對應(yīng)用于半導(dǎo)體生產(chǎn)的電子特氣純度亦提出了更高的要求。

不同線寬下對應(yīng)特氣所含顆粒雜質(zhì)要求 電子特氣廠商外購初級氣體原材料后通過合成、純化、混配、氣瓶處理、充裝、檢測等一系列處理后制成特氣產(chǎn)品。由于特氣原材料具有同質(zhì)性,在市場上較易取得,特氣企業(yè)對供應(yīng)商的議價(jià)能力較強(qiáng),但受市場供需、經(jīng)濟(jì)周期等因素影響也要承擔(dān)一定價(jià)格波動風(fēng)險(xiǎn)。

電子特氣產(chǎn)業(yè)鏈 電子特氣是僅次于大硅片的第二大晶圓制造材料。2016-2018年,全球用于晶圓制造的電子特氣市場保持10%左右增速,2018年規(guī)模達(dá)42.5億美元,占晶圓制造材料市場的12.85%。國內(nèi)電子特氣市場增速高于全球,2018年用于晶圓制造的電子特氣市場規(guī)模約72.98億元(10.81億美元)。 與傳統(tǒng)大宗氣體相比,電子氣體行業(yè)技術(shù)壁壘高,市場集中度高。2018年全球半導(dǎo)體用電子氣體市場中,空氣化工、普萊克斯、林德集團(tuán)、液化空氣和大陽日酸等五大公司控制著全球90%以上的市場份額,形成寡頭壟斷的局面。在國內(nèi)市場,境外幾大氣體巨頭控制了80%的市場份額。

2018年全球電子特氣競爭格局

CMP 拋光材料:高技術(shù)壁壘,高毛利

拋光液和拋光墊是CMP拋光工藝的關(guān)鍵材料。CMP拋光即化學(xué)機(jī)械拋光,主要應(yīng)用于藍(lán)寶石拋光和集成電路中的硅晶片拋光,是指化學(xué)作用和物理作用同時(shí)發(fā)生的一種新技術(shù),可以避免由單純機(jī)械拋光造成的表面損傷和由單純化學(xué)拋光造成的拋光速度慢、表面平整度和拋光一致性差等缺點(diǎn)。 CMP拋光是目前唯一可以提供硅片全局平面化的技術(shù)。拋光機(jī)、拋光液和拋光墊是CMP工藝的三大關(guān)鍵要素,由于工藝制程和技術(shù)節(jié)點(diǎn)不同,每片晶圓在生產(chǎn)過程中都會經(jīng)歷幾道甚至幾十道CMP拋光工藝,7nm以下邏輯芯片中CMP拋光步驟達(dá)到三十步,使用拋光液種類近三十種。 拋光液和拋光墊是易耗品。CMP的工作原理為將硅片放置在拋光墊上,在拋光液(含有納米級SiO2、Al2O3等粒子)的存在下,不斷旋轉(zhuǎn),通過粒子的機(jī)械研磨和材料的化學(xué)反應(yīng)同時(shí)進(jìn)行,對材料表面進(jìn)行平整。拋光墊通常由多孔性材料組成,表面有特殊溝槽,從而提高拋光的均勻性,通常拋光墊使用壽命為45至75小時(shí)。拋光墊和拋光液是CMP技術(shù)中兩種關(guān)鍵材料,根據(jù)安集科技招股書數(shù)據(jù),兩者成本合計(jì)占拋光材料總成本的82%。

2016-2018全球CMP拋光材料規(guī)模(億美元) 全球拋光材料市場持續(xù)高速增長,2001-2018年,全球拋光材料市場規(guī)模復(fù)合增速達(dá)10.13%。根據(jù)卡博特官網(wǎng)公開披露數(shù)據(jù),2018年全球拋光材料市場達(dá)20.1億美元,其中拋光墊市場為12.7億美元,拋光液市場為7.4億美元。預(yù)計(jì)2022年全球拋光材料市場將達(dá)26.1億美元。 其中,拋光墊是一種具有一定彈性且疏松多孔的材料,一般由含有填充材料的聚氨酯構(gòu)成。拋光墊根據(jù)溝槽結(jié)構(gòu)形式不同分為四個(gè)類別,每種結(jié)構(gòu)的應(yīng)用領(lǐng)域各有不同。 拋光墊上游原料為聚氨酯等基礎(chǔ)化工原料,不同拋光墊生產(chǎn)企業(yè)根據(jù)擁有的專利不同而選擇不同的拋光材料。例如羅門哈斯專注于使用多羥基化合物、多胺、羥基胺等高分子材料設(shè)計(jì)和生產(chǎn)拋光墊,東麗側(cè)重于用尼龍纖維和聚合樹脂等材料生產(chǎn)拋光墊,東陽橡膠則主要關(guān)注軟質(zhì)、硬質(zhì)聚氨酯。我國拋光墊龍頭企業(yè)鼎龍股份生產(chǎn)拋光墊的主要原材料也是聚氨酯,包括聚氨酯彈性體和聚氨酯發(fā)泡體等。

拋光墊產(chǎn)業(yè)鏈 拋光墊技術(shù)壁壘高,認(rèn)證時(shí)間長。拋光墊主要包括聚氨酯拋光墊、無紡布拋光墊、復(fù)合型拋光墊等幾種類型產(chǎn)品。由于CMP拋光墊在設(shè)計(jì)和使用壽命方面不斷改進(jìn),技術(shù)壁壘極高; 另外,新品測試的流程復(fù)雜,認(rèn)證時(shí)間長達(dá)1-2年,晶圓廠商為保證有序穩(wěn)定生產(chǎn),不輕易更換供應(yīng)商。目前拋光墊幾乎完全依賴進(jìn)口,市場由美國陶氏化學(xué)(約80%市場份額)、美國卡博特、日本東麗等公司壟斷,產(chǎn)品毛利率在50%以上。我國在拋光墊領(lǐng)域起步較晚,2006年后專利申請數(shù)量開始出現(xiàn)顯著增長,占全球比重逐年上升,追趕勢頭迅猛。

2019年全球拋光墊競爭格局 至于CMP拋光液,則是一種由研磨顆粒(如納米SiO2、Al2O3粒子等)、表面活性劑、穩(wěn)定劑、氧化劑等組成的產(chǎn)品。研磨顆粒提供研磨作用,化學(xué)氧化劑提供腐蝕溶解作用。按照研磨顆粒不同,CMP拋光液可分為二氧化硅拋光液、氧化鈰拋光液、氧化鋁拋光液和納米金剛石拋光液等幾大類,其中研磨顆粒為最主要原材料。

拋光液產(chǎn)業(yè)鏈 隨著芯片制程不斷精細(xì),對拋光液需求逐漸增加。根據(jù)卡博特微電子,當(dāng)邏輯芯片制程達(dá)到5nm時(shí),約25%-30%生產(chǎn)步驟都要用到拋光液。存儲芯片由2D NAND升級到3D NAND后由于結(jié)構(gòu)更復(fù)雜,拋光次數(shù)增加,且約50%生產(chǎn)步驟需要用到拋光液。技術(shù)進(jìn)步疊加芯片制程精細(xì)度提高,將為拋光液需求打開廣闊空間。

2017年拋光液市場份額占比 拋光液市場被境外巨頭壟斷,卡博特微電子、陶氏杜邦、VSM、日本日立、富士美CR5共占據(jù)了約78%的市場份額。其中卡博特微電子占比最高達(dá)到36%。2019年,卡博特微電子拋光液收入4.6億美元,占公司總收入的44.3%。分區(qū)域看,2019年公司在中國收入不足10%(2018年為9725.4萬美元,占公司收入16.48%)。國內(nèi)廠商由于缺乏獨(dú)立自主知識產(chǎn)權(quán)和品牌,龐大的國內(nèi)半導(dǎo)體市場完全被外資產(chǎn)品占據(jù)。根據(jù)《2018年中國市場CMP拋光液發(fā)展研究報(bào)告》統(tǒng)計(jì),2017年我國CMP拋光液消費(fèi)量達(dá)2137萬升,預(yù)計(jì)2025年將達(dá)9653萬升,其中超過65.7%來源于境外廠。

高純濕電子化學(xué)品:種類繁多,應(yīng)用廣泛

超凈高純試劑是集成電路制造的關(guān)鍵性配套材料之一。超凈高純試劑又稱工藝化學(xué)品,是指主體成分純度高于99.99%,雜質(zhì)離子的微粒數(shù)符合嚴(yán)格要求的化學(xué)試劑,是大規(guī)模集成電路和超大規(guī)模集成電路制造的關(guān)鍵性配套材料,主要用于芯片的清洗、蝕刻等制造領(lǐng)域,其成本約占集成電路(IC)材料成本的7%左右。

濕電子化學(xué)品分類 高純濕電子化學(xué)品分為通用性濕電子化學(xué)品和功能性濕電子化學(xué)品兩大類。其中通用濕電子化學(xué)品是指在集成電路、液晶顯示器、太陽能電池制造工藝中通用的濕電子化學(xué)品,包括酸、堿、有機(jī)溶劑、其他四個(gè)子類;功能濕電子化學(xué)品是指須通過復(fù)配手段達(dá)到特殊功能、滿足制造中特殊工藝需求的配方類或復(fù)配類化學(xué)品,主要包括顯影液、剝離液、清洗液、蝕刻液等。 濕電子化學(xué)品上游是硫酸、氨水等粗化工品,下游主要用于生產(chǎn)半導(dǎo)體、面板和太陽能電池。三個(gè)應(yīng)用場景對產(chǎn)品的純度等級要求有所不同,太陽能電池領(lǐng)域?qū)兌纫笙鄬^低,僅需達(dá)到G1、G2等級。顯示面板領(lǐng)域一般要求達(dá)到G2、G3等級。半導(dǎo)體中分立器件對超凈高純試劑等級要求相對較低,基本集中在G2級;集成電路用超凈高純試劑的純度要求最高,中低端領(lǐng)域(8英寸及以下晶圓制程)要求達(dá)到G3、G4 水平,部分高端領(lǐng)域(大硅片、12 英寸晶圓制程)要求達(dá)到G5等級(10ppt)。

濕電子化學(xué)品產(chǎn)業(yè)鏈 在半導(dǎo)體領(lǐng)域,半導(dǎo)體用濕電子化學(xué)品質(zhì)量要求最高。使用較多的濕電子化學(xué)品包括硫酸、雙氧水等。2014-2018年,我國計(jì)算機(jī)、消費(fèi)電子、通信等產(chǎn)業(yè)規(guī)模持續(xù)增長,大大拉動了對集成電路的需求,半導(dǎo)體行業(yè)濕電子化學(xué)品需求量隨之增長,根據(jù)中國電子材料行業(yè)協(xié)會數(shù)據(jù),2020年半導(dǎo)體用濕電子化學(xué)化學(xué)品需求量將達(dá)45萬噸。

2018年半導(dǎo)體用濕電子化學(xué)品用量結(jié)構(gòu) 三大集團(tuán)占據(jù)高純濕電子化學(xué)品市場主要份額。第一塊市場份額由歐美傳統(tǒng)老牌企業(yè)的濕電子化學(xué)品產(chǎn)品(包括它們在亞洲開設(shè)工廠所創(chuàng)的銷售額)所占領(lǐng),其市場份額約為35%,主要企業(yè)有德國巴斯夫公司、美國亞什蘭集團(tuán)、美國奧麒化學(xué)品公司、美國霍尼韋爾公司等。第二塊約28%的市場份額由日本的十家左右生產(chǎn)企業(yè)所擁有,包括關(guān)東化學(xué)公司、三菱化學(xué)、京都化工、日本合成橡膠、住友化學(xué)、和光純藥工業(yè)等。第三塊市場份額主要是中國臺灣、韓國、中國大陸企業(yè)(即內(nèi)資企業(yè))生產(chǎn)的濕法電子化學(xué)品所占,三者合計(jì)占有全球市場份額的35%。

2017年全球濕電子化學(xué)品競爭格局 它山之石:回顧境外化學(xué)試劑行業(yè)發(fā)達(dá)國家企業(yè)的經(jīng)營模式,發(fā)展大致可分為三個(gè)階段。 第一階段,企業(yè)選擇自主經(jīng)營實(shí)現(xiàn)自產(chǎn)自銷,隨著品類及客戶擴(kuò)大,企業(yè)難以滿足客戶的全部試劑需求,行業(yè)普遍采用自產(chǎn)和分工合作相結(jié)合的生產(chǎn)方式。 第二階段,各企業(yè)逐漸在特定領(lǐng)域擴(kuò)大種類和技術(shù)領(lǐng)先優(yōu)勢,同時(shí)客戶對產(chǎn)品的規(guī)格和品質(zhì)要求越來越高,企業(yè)逐漸向配套設(shè)備、配套試劑和配套服務(wù)的方向發(fā)展。 第三階段,國際化學(xué)試劑大型企業(yè)憑借其研發(fā)能力、營銷網(wǎng)絡(luò)和資金實(shí)力,競爭優(yōu)勢明顯,行業(yè)呈現(xiàn)出結(jié)盟合作、重組兼并的格局,市場集中度快速提升,如Sigma與Aldrich的聯(lián)合,Honeywell收購了Burdick&Jackson 公司,通過集團(tuán)化合并聯(lián)合經(jīng)營的方式形成合力,進(jìn)一步擴(kuò)大市場份額。

靶材:制備薄膜材料的關(guān)鍵原料

濺射靶材是制備薄膜材料的關(guān)鍵原料。濺射過程需使用離子轟擊固體表面,使靶材中金屬原子以一定能量逸出并在晶圓或其他材料表面沉積,形成一層薄膜以實(shí)現(xiàn)導(dǎo)電、保護(hù)等功能,被轟擊的固體即為濺射靶材。

濺射靶材分類 濺射靶材的種類較多,即使相同材質(zhì)的濺射靶材也有不同的規(guī)格。以化學(xué)成分分類,包括應(yīng)用于制作導(dǎo)電層具有良好導(dǎo)電性能銅、鋁、ITO、ZAO;鉭、鈦等靶材用于制作阻擋層,保護(hù)導(dǎo)電層不受侵蝕和氧化。鎳鉑合金、鎢鈦合金、鈷靶材用于制作接觸層,與硅層生成薄膜提供與外部連接的接點(diǎn)。目前芯片制造工藝在180-130nm之間主要用鋁及鋁合金靶材作為導(dǎo)電層,90-65 nm主要應(yīng)用銅靶材。 45-28nm主要使用純銅鋁和銅錳合金靶材。當(dāng)芯片制程在20nm以下,尤其是小于7nm時(shí),鈷靶材在填滿能力、抗阻力和可靠度三方面優(yōu)勢明顯。 靶材上游是各類高純金屬,主要由霍尼韋爾、三菱材料、世泰科等境外企業(yè)供應(yīng)。國內(nèi)方鉭業(yè)有一定高純鉭供應(yīng)能力,2014-2016年躋身于江豐電子前五大供應(yīng)商。靶材下游是集成電路、面板、光伏電池和磁記錄行業(yè),不同領(lǐng)域?qū)Π胁募兌鹊囊蟛煌?,光伏和磁記錄要求靶材純度?N(99.99%),面板領(lǐng)域?yàn)?N(99.999%),集成電路領(lǐng)域?yàn)?.5N和6N(99.9995%和99.9999%)

靶材產(chǎn)業(yè)鏈 全球靶材市場呈現(xiàn)寡頭競爭格局,日礦金屬、霍尼韋爾、東曹和普萊克斯四家企業(yè)占據(jù)80%市場份額。國內(nèi)企業(yè)中阿石創(chuàng)、隆華科技、有研新材和江豐電子靶材生產(chǎn)體量較大。其中阿石創(chuàng)、隆華科技產(chǎn)品主要用于面板、觸控。江豐電子產(chǎn)品在半導(dǎo)體、太陽能光伏和面板領(lǐng)域均有覆蓋,有研新材主要生產(chǎn)半導(dǎo)體靶材。 阿石創(chuàng):阿石創(chuàng)在面板領(lǐng)域主要生產(chǎn)鉬、鋁、銅、鈦及ITO靶材,產(chǎn)品除面板、觸控外還應(yīng)用于光學(xué)器件、太陽能光伏和汽車/建筑玻璃鍍膜等領(lǐng)域。開拓了華星光電、彩虹光電、中電熊貓等客戶。 隆華科技:隆華科技通過收購四豐電子切入鉬靶材領(lǐng)域,相關(guān)產(chǎn)品在面板領(lǐng)域認(rèn)可度較高,客戶包括三星、LG、京東方、華星光電等知名公司;通過收購廣西晶聯(lián)切入ITO靶材行業(yè),目前已實(shí)現(xiàn)G8.5代產(chǎn)品穩(wěn)定供貨,首套G10.5產(chǎn)品于今年6月交付。公司目前總共擁有鉬靶材產(chǎn)能500噸/年,ITO靶材產(chǎn)能70噸/年。 江豐電子:江豐電子是國內(nèi)最大半導(dǎo)體芯片用靶材生產(chǎn)商,目前已可量產(chǎn)用于90-7nm半導(dǎo)體芯片的鉭、銅、鈦、鋁靶材,其中鉭靶材在臺積電7nm芯片中已量產(chǎn),5nm技術(shù)節(jié)點(diǎn)產(chǎn)品也已進(jìn)入驗(yàn)證階段。公司客戶包括中芯國際、臺積電、格羅方德等知名半導(dǎo)體生產(chǎn)廠商。 有研新材:有研新材半導(dǎo)體用8-12英寸鋁、鈦、銅、鈷、鉭靶材已通過客戶驗(yàn)證并批量供貨,客戶覆蓋中芯國際、大連intel、臺積電、聯(lián)電、北方華創(chuàng)等芯片制造和設(shè)備企業(yè)。截止2019年底尚有驗(yàn)證階段產(chǎn)品100余種。 阿石創(chuàng):阿石創(chuàng)在面板領(lǐng)域主要生產(chǎn)鉬、鋁、銅、鈦及ITO靶材,產(chǎn)品除面板、觸控外還應(yīng)用于光學(xué)器件、太陽能光伏和汽車/建筑玻璃鍍膜等領(lǐng)域。開拓了華星光電、彩虹光電、中電熊貓等客戶。 隆華科技:隆華科技通過收購四豐電子切入鉬靶材領(lǐng)域,相關(guān)產(chǎn)品在面板領(lǐng)域認(rèn)可度較高,客戶包括三星、LG、京東方、華星光電等知名公司;通過收購廣西晶聯(lián)切入ITO靶材行業(yè),目前已實(shí)現(xiàn)G8.5代產(chǎn)品穩(wěn)定供貨,首套G10.5產(chǎn)品于今年6月交付。公司目前總共擁有鉬靶材產(chǎn)能500噸/年,ITO靶材產(chǎn)能70噸/年。 江豐電子:江豐電子是國內(nèi)最大半導(dǎo)體芯片用靶材生產(chǎn)商,目前已可量產(chǎn)用于90-7nm半導(dǎo)體芯片的鉭、銅、鈦、鋁靶材,其中鉭靶材在臺積電7nm芯片中已量產(chǎn),5nm技術(shù)節(jié)點(diǎn)產(chǎn)品也已進(jìn)入驗(yàn)證階段。公司客戶包括中芯國際、臺積電、格羅方德等知名半導(dǎo)體生產(chǎn)廠商。 有研新材:有研新材半導(dǎo)體用8-12英寸鋁、鈦、銅、鈷、鉭靶材已通過客戶驗(yàn)證并批量供貨,客戶覆蓋中芯國際、大連intel、臺積電、聯(lián)電、北方華創(chuàng)等芯片制造和設(shè)備企業(yè)。截止2019年底尚有驗(yàn)證階段產(chǎn)品100余種。

國內(nèi)靶材主要企業(yè)產(chǎn)品情況和公司 、產(chǎn)品借助此文,希望能幫助大家對半導(dǎo)體材料市場有更深入的了解。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26637

    瀏覽量

    212627
  • 半導(dǎo)體材料
    +關(guān)注

    關(guān)注

    11

    文章

    514

    瀏覽量

    29443

原文標(biāo)題:熱點(diǎn) | 半導(dǎo)體材料全球格局

文章出處:【微信號:wc_ysj,微信公眾號:旺材芯片】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    作為產(chǎn)業(yè)上游關(guān)鍵,國產(chǎn)半導(dǎo)體材料進(jìn)展如何?

    電子發(fā)燒友網(wǎng)報(bào)道(文/吳子鵬)統(tǒng)計(jì)數(shù)據(jù)顯示,2023年中國半導(dǎo)體材料市場規(guī)模為146億元,同比增長12%;2016-2023年復(fù)合增長率為10%,高于同期全球增速(5.3%)。半導(dǎo)體
    的頭像 發(fā)表于 10-21 01:04 ?1209次閱讀

    預(yù)計(jì)2025年全球半導(dǎo)體封裝材料市場規(guī)模達(dá)260億美元

    近日,SEMI、TECHCET和TechSearch International聯(lián)合發(fā)布了最新的全球半導(dǎo)體封裝材料展望(GSPMO)報(bào)告。該報(bào)告指出,受各種終端應(yīng)用對半導(dǎo)體的強(qiáng)勁需求推動,全球
    的頭像 發(fā)表于 10-14 16:31 ?263次閱讀

    作為產(chǎn)業(yè)上游關(guān)鍵,國產(chǎn)半導(dǎo)體材料進(jìn)展如何?

    電子發(fā)燒友網(wǎng)報(bào)道(文/吳子鵬)統(tǒng)計(jì)數(shù)據(jù)顯示,2023年中國半導(dǎo)體材料市場規(guī)模為146億元,同比增長12%;2016-2023年復(fù)合增長率為10%,高于同期全球增速(5.3%)。半導(dǎo)體
    的頭像 發(fā)表于 10-12 15:46 ?825次閱讀

    半導(dǎo)體市場格局變動,英特爾滑落至第四

    近日,全球半導(dǎo)體市場格局發(fā)生重大變動,據(jù)世界半導(dǎo)體貿(mào)易統(tǒng)計(jì)組織(WSTS)最新數(shù)據(jù)顯示,2024年第二季度全球半導(dǎo)體
    的頭像 發(fā)表于 08-23 16:25 ?402次閱讀

    全球半導(dǎo)體產(chǎn)業(yè)格局生變

    SEMI首席分析師曾瑞榆預(yù)測,半導(dǎo)體銷售額預(yù)計(jì)將在2024年和2025年實(shí)現(xiàn)兩位數(shù)增長。其中,半導(dǎo)體設(shè)備和材料市場將在2024年出現(xiàn)改善,隨后在2025年強(qiáng)勁復(fù)蘇。另外,中國對成熟技術(shù)
    的頭像 發(fā)表于 04-29 17:19 ?537次閱讀

    半導(dǎo)體材料是什么 半導(dǎo)體材料是硅還是二氧化硅

    半導(dǎo)體材料是一種電子能級介于導(dǎo)體材料和絕緣體材料之間的材料,在固體物質(zhì)中具有特殊的電導(dǎo)特性。在
    的頭像 發(fā)表于 02-04 09:46 ?4206次閱讀

    半導(dǎo)體襯底材料的選擇

    電子科技領(lǐng)域中,半導(dǎo)體襯底作為基礎(chǔ)材料,承載著整個(gè)電路的運(yùn)行。隨著技術(shù)的不斷發(fā)展,對半導(dǎo)體襯底材料的選擇和應(yīng)用要求也越來越高。本文將為您詳細(xì)介紹半導(dǎo)
    的頭像 發(fā)表于 01-20 10:49 ?1497次閱讀

    半導(dǎo)體材料有哪些 半導(dǎo)體材料是硅還是二氧化硅

    半導(dǎo)體材料是指在溫度較低且電流較小的條件下,電阻率介于導(dǎo)體和絕緣體之間的材料。半導(dǎo)體材料在電子器
    的頭像 發(fā)表于 01-17 15:25 ?2518次閱讀

    常見的半導(dǎo)體材料有哪些?具備什么特點(diǎn)?

    常見的半導(dǎo)體材料有哪些?具備什么特點(diǎn)? 常見的半導(dǎo)體材料有硅、鍺、砷化鎵、磷化銦、碲化鎘等。它們具備許多特點(diǎn),包括導(dǎo)電性能、能隙、熱穩(wěn)定性、光電性質(zhì)等方面的特點(diǎn)。 首先,導(dǎo)電性能是
    的頭像 發(fā)表于 12-25 14:04 ?1525次閱讀

    艾森半導(dǎo)體成功上市!開盤漲超114%,募資6.18億擴(kuò)產(chǎn)半導(dǎo)體材料

    半導(dǎo)體市場機(jī)遇快速成長。 今天艾森半導(dǎo)體正式成為昆山市第9家科創(chuàng)板上市企業(yè),上市后將為企業(yè)未來發(fā)展,提供非常強(qiáng)勁的資本加持。在上市儀式上,艾森半導(dǎo)體董事長張兵也表示,“充分發(fā)揮資本
    的頭像 發(fā)表于 12-07 00:11 ?3104次閱讀
    艾森<b class='flag-5'>半導(dǎo)體</b>成功上市!開盤漲超114%,募資6.18億擴(kuò)產(chǎn)<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>

    半導(dǎo)體材料簡介 半導(dǎo)體材料的電特性詳解

    要聊的就是這個(gè)特殊的材料——半導(dǎo)體半導(dǎo)體幾乎撐起了現(xiàn)代電子技術(shù)的全部,二極管,晶體管以及IC都是由半導(dǎo)體材料制成。在可預(yù)見的未來,它們是大
    的頭像 發(fā)表于 12-06 10:12 ?2037次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>簡介 <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>的電特性詳解

    半導(dǎo)體設(shè)備市場向好,應(yīng)用材料Q4繼續(xù)保持增長

    電子發(fā)燒友網(wǎng)報(bào)道(文/周凱揚(yáng))由于今年半導(dǎo)體市場復(fù)雜多變的格局,上下游也呈現(xiàn)出不同的樣貌,尤其是半導(dǎo)體設(shè)備市場。近日,
    的頭像 發(fā)表于 12-04 06:51 ?1350次閱讀

    淺析現(xiàn)代半導(dǎo)體產(chǎn)業(yè)中常用的半導(dǎo)體材料

    半導(dǎo)體材料半導(dǎo)體產(chǎn)業(yè)的核心,它是制造電子和計(jì)算機(jī)芯片的基礎(chǔ)。半導(dǎo)體材料的種類繁多,不同的材料
    發(fā)表于 11-29 10:22 ?1185次閱讀
    淺析現(xiàn)代<b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)中常用的<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>

    半導(dǎo)體材料檢測有哪些種類?測試半導(dǎo)體材料有哪些方法?

    半導(dǎo)體材料是制作半導(dǎo)體器件與集成電路的基礎(chǔ)電子材料。隨著技術(shù)的發(fā)展以及市場要求的不斷提高,對于半導(dǎo)體
    的頭像 發(fā)表于 11-10 16:02 ?1705次閱讀

    半導(dǎo)體材料特性介紹

    半導(dǎo)體材料具有一些與我們已知的導(dǎo)體、絕緣體完全不同的電學(xué)、化學(xué)和物理特性,正是由于這些特點(diǎn),使得半導(dǎo)體器件和電路具有獨(dú)特的功能。在接下來的半導(dǎo)體
    的頭像 發(fā)表于 11-03 10:24 ?949次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>特性介紹