0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

時(shí)序電路基本組件及時(shí)序邏輯電路應(yīng)用實(shí)例

lPCU_elecfans ? 來(lái)源:學(xué)小易 ? 作者:學(xué)小易 ? 2020-09-08 14:21 ? 次閱讀

時(shí)序電路是數(shù)字電路的基本電路,也是FPGA設(shè)計(jì)中不可缺少的設(shè)計(jì)模塊之一。時(shí)序電路與組合電路最大的不同點(diǎn)是:時(shí)序電路的輸出不僅與輸人有關(guān),還與電路本身的狀態(tài)有關(guān),即時(shí)序電路有記憶功能。大部分時(shí)序電路還有一個(gè)特征,就是有時(shí)鐘驅(qū)動(dòng),電路的各個(gè)狀態(tài)在時(shí)鐘節(jié)拍下變化。本章主要介紹時(shí)序電路的一些基本組件及一些簡(jiǎn)單的時(shí)序邏輯電路應(yīng)用實(shí)例。

13.1D觸發(fā)器建模

【D觸發(fā)器建?!?br />
D觸發(fā)器是時(shí)序電路最基本的組件之一,其基本功能是:輸出端Q的更新只發(fā)生在時(shí)鐘信號(hào)的上升沿(也可以是下降沿,在沒(méi)有其他說(shuō)明的情況下,例子中取上升沿)時(shí)刻,更新為此時(shí)輸人端D的信號(hào)。D觸發(fā)器的Verilog HDL編碼的實(shí)現(xiàn)比較簡(jiǎn)單,具體實(shí)現(xiàn)如【代碼13-1】所列。

【代碼13-1】

編寫(xiě)【代碼13-1】的測(cè)試平臺(tái)如【代碼13-2】所列。

【代碼13-2】

在ModelSim軟件中對(duì)【代碼13-1】進(jìn)行波形仿真,如圖13-1所示。

波形仿真報(bào)告分析

從圖13-1可以看出,在10ns時(shí)刻以前,由于時(shí)鐘信號(hào)的上升沿還沒(méi)有到來(lái)過(guò),所以w_q的值沒(méi)有被更新過(guò),為x;在10ns時(shí)刻,在時(shí)鐘信號(hào)的,上升沿事件發(fā)生,w_q更新為此刻的r_d,值為1,該值會(huì)一直保持到時(shí)鐘信號(hào)的下一個(gè)上升沿事件發(fā)生時(shí);在10ns時(shí)刻,雖然r_d的值發(fā)生了變化,但由于不是發(fā)生在時(shí)鐘信號(hào)的上升沿時(shí)刻,所以w_q的值并沒(méi)有發(fā)生變化。以上對(duì)波形的分析符合D觸發(fā)器的功能特點(diǎn)。

此外,還可以對(duì)【代碼13-1】的D觸發(fā)器加入一些控制信號(hào),如清零信號(hào)、使能信號(hào),具體實(shí)現(xiàn)如【代碼13-3】所列。

【代碼13-3】

【代碼13-3】在Quartus II軟件中綜合后的電路結(jié)構(gòu)如圖13-2所示。

對(duì)【代碼13-3】設(shè)計(jì)測(cè)試平臺(tái),以驗(yàn)證其功能,如【代碼13-4】所列。

【代碼13-4】

在ModelSim軟件中對(duì)【代碼13-3】進(jìn)行波形仿真,如圖13-3所示。

從圖13-3可以看出,在10ns時(shí)刻,雖然在時(shí)鐘信號(hào)r_clk上升沿事件發(fā)生,而且使能信號(hào)r_enable也有效,但是清零信號(hào)r_clr同時(shí)也有效,所以D觸發(fā)器輸出依然沒(méi)有更新為此刻輸入信號(hào)r_d的值;在30ns時(shí)刻,雖然在時(shí)鐘信號(hào)r_clk上升沿事件發(fā)生,而且清零信號(hào)r_clr已無(wú)效,但是使能信號(hào)r_enable卻無(wú)效,所以D觸發(fā)器輸出依然沒(méi)有更新為此刻輸入信號(hào)r_d的值;在50ns時(shí)刻,雖然在時(shí)鐘信號(hào)r_clk上升沿事件發(fā)生,使能信號(hào)r_enable也有效,但是清零信號(hào)已無(wú)效,所以D觸發(fā)器輸出立即更新為此刻輸入信號(hào)r_d的值。

除此之外,有關(guān)Verilog HDL基本時(shí)序電路建模方面,還包括了鎖存器建模、JK觸發(fā)器建模等。想學(xué)習(xí)更多Verilog HDL建模、時(shí)序與整合電路方面的知識(shí),可以下載編者為大家精選準(zhǔn)備的一份FPGA學(xué)習(xí)指南合集:Verilog HDL那些事兒(建模篇,時(shí)序篇,整合篇)

Verilog HDL那些事兒建模篇:

在眾多的Verilog HDL 參考書(shū),隱隱約約會(huì)會(huì)出現(xiàn)這樣的一個(gè)“建?!薄=T赩erilog HDL的世界里是一個(gè)重要的基礎(chǔ),很多初學(xué)Verilog HDL + FPGA 的朋友會(huì)成為徘徊在邊緣的一群,主要原因就是他們沒(méi)有掌握好建模技巧,而形成他們繼續(xù)前進(jìn)的一大阻礙。在這里筆者將自己養(yǎng)成的建模技巧,編輯成為一本筆記,好讓許更多初學(xué)的朋友越過(guò)這一段學(xué)習(xí)的大障礙。

Verilog HDL那些事兒時(shí)序篇:

建模不是Verilog HDL 語(yǔ)言的所有,建模只是使用Verilog HDL 語(yǔ)言建立一個(gè)“像模像樣”的“形狀”而已。這個(gè)“形狀”實(shí)際上是很粗糙的,還沒(méi)有經(jīng)過(guò)任何深入的分析。但是我們不可以小看這個(gè)“粗糙的形狀”,如果沒(méi)有這個(gè)“粗糙的形狀”模塊的設(shè)計(jì)根本無(wú)法完成。筆者在《Verilog HDL 那些事兒-建模篇》的結(jié)束語(yǔ)中有這樣講過(guò):“建模是一個(gè)粗糙的東西,它還可以繼續(xù)細(xì)化”。

“細(xì)化”顧名思義就是進(jìn)入模塊的深層進(jìn)行分析和優(yōu)化(如果有需要調(diào)試的話(huà))的工作。但是前提,我們必須“更深入Verilog HDL 語(yǔ)言的世界”才能有效的“細(xì)化”模塊。這一本起名為《Verilog HDL 那些事兒-時(shí)序篇》的筆記分別有兩個(gè)部分,上半部分和下半部分。上半部分是“步驟和時(shí)鐘”;下半部分是“綜合和仿真”。

Verilog HDL那些事兒整合篇:

要在Verilog 要實(shí)現(xiàn)for 和while 等循環(huán)是一個(gè)矛盾的作業(yè),這話(huà)何說(shuō)呢?首先我們可以用Verilog 來(lái)模仿for 和while 等循環(huán),這也是第一章的重點(diǎn)??墒请S著我們深入了解Verilog 各種不同的運(yùn)行模式,模仿就會(huì)失去意義。.. 因?yàn)橹灰幸粋€(gè)指向步驟的i 再加上一些整合的技巧,怎么樣的循環(huán)我們都可以實(shí)現(xiàn),這也是第五章的重點(diǎn)。當(dāng)然整合篇所討論的內(nèi)容不單是循環(huán)而已,整合篇的第二個(gè)重點(diǎn)是理想時(shí)序和物理時(shí)序的整合。說(shuō)實(shí)話(huà),筆者自身也認(rèn)為要結(jié)合“兩個(gè)時(shí)序”是一件苦差事,理想時(shí)序是Verilog的行為,物理時(shí)序則是硬件的行為。不過(guò)在它們兩者之間又有微妙的“黏糊點(diǎn)”,只要稍微利用一下這個(gè)“黏糊點(diǎn)”我們就可以非常輕松的寫(xiě)出符合“兩個(gè)時(shí)序”的模塊,但是前提條件是充足了解“理想時(shí)序”。

整合篇里還有一個(gè)重點(diǎn),那就是“精密控時(shí)”。實(shí)現(xiàn)“精密控時(shí)”最笨的方法是被動(dòng)式的設(shè)計(jì)方法,亦即一邊仿真,一邊估算時(shí)鐘的控制精度。這顯然是非?!皞鹘y(tǒng)”而且“古老”的方法,雖然有效但往往就是最費(fèi)精神和時(shí)間的。相反的,主動(dòng)式是一種講求在代碼上和想象上實(shí)現(xiàn)“精密控時(shí)”的設(shè)計(jì)方法。主動(dòng)式的設(shè)計(jì)方法是基于“理想時(shí)序”“建模技巧”和“仿順序操作”作為后盾的整合技巧。不說(shuō)筆者吹牛,如果采用主動(dòng)式的設(shè)計(jì)方法驅(qū)動(dòng)IICSDRAM 硬件,任何一段代碼都是如此合情合理。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 邏輯電路
    +關(guān)注

    關(guān)注

    13

    文章

    491

    瀏覽量

    42503
  • 時(shí)序電路
    +關(guān)注

    關(guān)注

    1

    文章

    114

    瀏覽量

    21669

原文標(biāo)題:FPGA設(shè)計(jì)應(yīng)用實(shí)例—Verilog HDL基本時(shí)序電路建模

文章出處:【微信號(hào):elecfans,微信公眾號(hào):電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    時(shí)序邏輯電路設(shè)計(jì)

    時(shí)序邏輯電路的輸出不但和當(dāng)前輸入有關(guān),還與系統(tǒng)的原先狀態(tài)有關(guān),即時(shí)序電路的當(dāng)前輸出由輸入變量與電路原先的狀態(tài)共同決定。為達(dá)到這一目的,時(shí)序
    發(fā)表于 03-18 22:13 ?71次下載

    同步時(shí)序邏輯電路

    同步時(shí)序邏輯電路:本章系統(tǒng)的講授同步時(shí)序邏輯電路的工作原理、分析方法和設(shè)計(jì)方法。從同步時(shí)序邏輯電路
    發(fā)表于 09-01 09:06 ?0次下載

    異步時(shí)序邏輯電路

    異步時(shí)序邏輯電路:本章主要從同步時(shí)序邏輯電路與異步時(shí)序邏輯電路狀態(tài)改變方式不同的特殊性出發(fā), 系
    發(fā)表于 09-01 09:12 ?0次下載

    CMOS邏輯電路高級(jí)技術(shù)與時(shí)序電路

    本章內(nèi)容:q 鏡像電路q 準(zhǔn)nMOS電路q 三態(tài)電路q 鐘控CMOS電路q 動(dòng)態(tài)CMOS電路q 雙軌邏輯
    發(fā)表于 08-13 14:44 ?0次下載

    時(shí)序邏輯電路引論

    數(shù)字電路分為組合邏輯電路(簡(jiǎn)稱(chēng)組合電路)和時(shí)序邏輯電路(簡(jiǎn)稱(chēng)時(shí)序電路)兩類(lèi)。在第三章中討論的
    發(fā)表于 08-13 15:23 ?24次下載

    時(shí)序邏輯電路的分析和設(shè)計(jì)

    在討論時(shí)序邏輯電路的分析與設(shè)計(jì)之前,讓我們先回顧一下在第四章中介紹過(guò)的時(shí)序電路結(jié)構(gòu)框圖和一些相關(guān)術(shù)語(yǔ)。時(shí)序電路的結(jié)構(gòu)框圖如圖5.1所示.。
    發(fā)表于 08-13 15:24 ?69次下載

    時(shí)序邏輯電路分析實(shí)例

    時(shí)序邏輯電路分析實(shí)例 例1 分析圖所示電路邏輯功能。設(shè)起始狀態(tài)是
    發(fā)表于 04-07 23:20 ?4563次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>邏輯電路</b>分析<b class='flag-5'>實(shí)例</b>

    時(shí)序邏輯電路實(shí)例解析

    時(shí)序邏輯電路實(shí)例解析 一、觸發(fā)器 1、電位觸發(fā)方式觸發(fā)器
    發(fā)表于 04-15 13:46 ?5475次閱讀

    數(shù)字電路基礎(chǔ)教程之時(shí)序邏輯電路的詳細(xì)資料概述

    本文檔的主要內(nèi)容詳細(xì)介紹的是數(shù)字電路基礎(chǔ)教程之時(shí)序邏輯電路的詳細(xì)資料概述。內(nèi)容包括了:1.時(shí)序邏輯電路分析2.若干常用時(shí)序
    發(fā)表于 10-17 08:00 ?0次下載
    數(shù)字<b class='flag-5'>電路基礎(chǔ)教程之時(shí)序</b><b class='flag-5'>邏輯電路</b>的詳細(xì)資料概述

    什么是時(shí)序邏輯電路

    數(shù)字電路根據(jù)邏輯功能的不同特點(diǎn),可以分成兩大類(lèi),一類(lèi)叫組合邏輯電路(簡(jiǎn)稱(chēng)組合電路),另一類(lèi)叫做時(shí)序邏輯電
    的頭像 發(fā)表于 02-26 15:22 ?3.1w次閱讀

    時(shí)序邏輯電路設(shè)計(jì)

    數(shù)字電路根據(jù)邏輯功能的不同特點(diǎn),可以分成兩大類(lèi),一類(lèi)叫組合邏輯電路(簡(jiǎn)稱(chēng)組合電路),另一類(lèi)叫做時(shí)序邏輯電
    發(fā)表于 05-16 18:32 ?8257次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>邏輯電路</b>設(shè)計(jì)

    時(shí)序電路基本介紹

    組合邏輯時(shí)序邏輯電路是數(shù)字系統(tǒng)設(shè)計(jì)的奠基石,其中組合電路包括多路復(fù)用器、解復(fù)用器、編碼器、解碼器等,而時(shí)序電路包括鎖存器、觸發(fā)器、計(jì)數(shù)器、
    的頭像 發(fā)表于 09-12 16:44 ?8983次閱讀
    <b class='flag-5'>時(shí)序電路基</b>本介紹

    基本邏輯電路、時(shí)序電路、組合電路設(shè)計(jì)

    從今天開(kāi)始新的一章-Circuits,包括基本邏輯電路、時(shí)序電路、組合電路等。
    的頭像 發(fā)表于 10-10 15:39 ?1227次閱讀

    時(shí)序邏輯電路設(shè)計(jì)之同步計(jì)數(shù)器

    時(shí)序電路的考察主要涉及分析與設(shè)計(jì)兩個(gè)部分,上文介紹了時(shí)序邏輯電路的一些分析方法,重點(diǎn)介紹了同步時(shí)序電路分析的步驟與注意事項(xiàng)。 本文就時(shí)序
    的頭像 發(fā)表于 05-22 17:01 ?2936次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>邏輯電路</b>設(shè)計(jì)之同步計(jì)數(shù)器

    時(shí)序電路基本原理是什么 時(shí)序電路由什么組成

    時(shí)序電路基本原理是指電路中的輸出信號(hào)與輸入信號(hào)的時(shí)間相關(guān)性。簡(jiǎn)單來(lái)說(shuō),就是電路的輸出信號(hào)要依賴(lài)于其輸入信號(hào)的順序和時(shí)間間隔。 時(shí)序電路由時(shí)鐘信號(hào)、觸發(fā)器和組合
    的頭像 發(fā)表于 02-06 11:30 ?1796次閱讀