0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何在基于SV的仿真環(huán)境中使用軟件語言

454398 ? 來源:AI加速微信公眾號 ? 作者:AI加速微信公眾號 ? 2020-09-27 14:20 ? 次閱讀

仿真驗證中,SV語言不能很好的描述復(fù)雜的計算或者流程關(guān)系,使用軟件語言(比如C)就比較方便。那么當(dāng)我們使用C描述了這些關(guān)系后,如何在基于SV的仿真環(huán)境中使用呢?有兩種方式,一種是將C編譯為可執(zhí)行文件,利用系統(tǒng)函數(shù)進(jìn)行調(diào)用。另外一種方式利用SV提供的 DPI-C接口。第一種方式并不是嚴(yán)格意義上的SV和C的對接,只是通過命令行執(zhí)行了C程序。比如我們寫了一個hello world的c程序:

int main(){

printf(“hello world!/n”);

return 0;

}

我們將其編譯為可執(zhí)行文件gcc -o hello hello.c

我們就可以在我們的SV函數(shù)中直接執(zhí)行:

function void exe();

$system(“./hello”);

Endfunction

除了這個方式,SV還提供了和C/C++直接的接口DPI。DPI接口允許用戶用C編寫程序,并和SV實現(xiàn)對接,也可以用SV寫程序,將SV程序?qū)С龅絚中。二者實現(xiàn)了相互調(diào)用。如何要在SV中使用自己定義的C函數(shù),需要在SV中導(dǎo)入函數(shù)。比如:

module Bus(input In1, output Out1);
import "DPI" function void slave_write(input int address,
input int data);
export "DPI" function write; // Note – not a function prototype

// This SystemVerilog function could be called from C
function void write(int address, int data);
// Call C function
slave_write(address, data); // Arguments passed by copy
endfunction
...
endmodule

C中為:

#include "svdpi.h"
extern void write(int, int); // Imported from SystemVerilog
void slave_write(const int I1, const int I2)
{
buff[I1] = I2;
...
}

C函數(shù)slave_write在SV中被調(diào)用,其有兩個參量 address和data。在C函數(shù)中通常要包含兩個頭文件:svdpi.h和svdpi_src.h。

C和SV的數(shù)據(jù)類型有以下幾種對應(yīng)關(guān)系:

我們需要注意SV和C之間參量傳遞有兩種方式,一種是值傳遞,比如byte-char,shortint-short int等,另外一種方式是通過指針傳遞,比如在SV中packed和unpacked的數(shù)組,是通過引用傳遞到C的,那么C中就使用指針來進(jìn)行接收。比如bit[n:0]作為packed數(shù)組,傳遞到C中是用svBitVecVal*來接收的,svBitVecVal是一個宏定義,實際上就是32bit數(shù)據(jù)。在這里需要注意的是,n bit的SV數(shù)據(jù)在C中是以32bit數(shù)據(jù)存放的,按照小端排列。比如bit[127:0] a,對應(yīng)的C中數(shù)據(jù)svBitVecVal* b,有以下對應(yīng)關(guān)系:

a[31:0] = b[0]

a[63:32] = b[1]

這點(diǎn)初學(xué)者很容易掉坑里,我一開始在傳遞這個變量的時候以為是一個svBitVecVal存儲1bit數(shù)據(jù)。在SV測的多維數(shù)據(jù)也都可以在C中使用1維指針接收,比如有以下函數(shù):

Void write(svBitVecVal* data){

}

SV中可以為:

Import “DPI-C” function void write(bit[127:0] data[16][16]);

這樣在C中讀寫data數(shù)據(jù)的時候就需要通過指針來確認(rèn)數(shù)據(jù)位置。指針指向了數(shù)據(jù)data的第一個32bit數(shù)據(jù),即data[0][0][31:0],之后數(shù)據(jù)往后增加指針即可得到。

對于unpacked的數(shù)組數(shù)據(jù),在C中可以使用指針直接訪問,但是在packed中的數(shù)據(jù),卻只允許使用定義的函數(shù)來讀寫。這些函數(shù)有:

svBit svGetSelectBit(const svBitPackedArrRef s, int i);

svLogic svGetSelectLogic(const svLogicPackedArrRef s, int i);

void svPutSelectBit(svBitPackedArrRef d, int i, svBit s);

void svPutSelectLogic(svLogicPackedArrRef d, int i, svLogic s);

/* canonical

void svGetPartSelectBit(svBitVec32* d, const svBitPackedArrRef s, int i,

int w);

svBitVec32 svGetBits(const svBitPackedArrRef s, int i, int w);

svBitVec32 svGet32Bits(const svBitPackedArrRef s, int i); // 32-bits

unsigned long long svGet64Bits(const svBitPackedArrRef s, int i); // 64-bits

void svGetPartSelectLogic(svLogicVec32* d, const svLogicPackedArrRef s, int i,

int w);

/* actual

void svPutPartSelectBit(svBitPackedArrRef d, const svBitVec32 s, int i,

int w);

void svPutPartSelectLogic(svLogicPackedArrRef d, const svLogicVec32 s, int i,

int w);

除了可以向C中傳遞固定維度數(shù)組,還可以傳遞不固定維度數(shù)組,即動態(tài)數(shù)據(jù)。在C中通過svOpenArrayHandle來獲取這些數(shù)據(jù),這些數(shù)據(jù)的操作也需要通過一些函數(shù),不能直接操作。比如以下函數(shù)可以獲得動態(tài)數(shù)組的位置:

int svLeft(const svOpenArrayHandle h, int d);

int svRight(const svOpenArrayHandle h, int d);

int svLow(const svOpenArrayHandle h, int d);

int svHigh(const svOpenArrayHandle h, int d);

比如定義一個數(shù)組bit[3:0] data[3:9],那么

svLeft(data, 1)是3,svRight(data, 1)是9。

在SV中有如下形式:

Import “DPI-C” function void write(bit[3:0] data[])

C中為:
Void write(svOpenArrayHandle data)

C函數(shù)

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 函數(shù)
    +關(guān)注

    關(guān)注

    3

    文章

    4263

    瀏覽量

    62246
  • SV
    SV
    +關(guān)注

    關(guān)注

    0

    文章

    6

    瀏覽量

    13925
  • 仿真驗證
    +關(guān)注

    關(guān)注

    0

    文章

    25

    瀏覽量

    8121
收藏 人收藏

    評論

    相關(guān)推薦

    何在智能手機(jī)系統(tǒng)中使用bq27505

    電子發(fā)燒友網(wǎng)站提供《如何在智能手機(jī)系統(tǒng)中使用bq27505.pdf》資料免費(fèi)下載
    發(fā)表于 10-17 10:21 ?0次下載
    如<b class='flag-5'>何在</b>智能手機(jī)系統(tǒng)<b class='flag-5'>中使</b>用bq27505

    何在MSP430?MCU中使用智能模擬組合

    電子發(fā)燒友網(wǎng)站提供《如何在MSP430?MCU中使用智能模擬組合.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 10:19 ?0次下載
    如<b class='flag-5'>何在</b>MSP430?MCU<b class='flag-5'>中使</b>用智能模擬組合

    何在反向降壓-升壓拓?fù)?b class='flag-5'>中使用TPS6290x

    電子發(fā)燒友網(wǎng)站提供《如何在反向降壓-升壓拓?fù)?b class='flag-5'>中使用TPS6290x.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 10:07 ?0次下載
    如<b class='flag-5'>何在</b>反向降壓-升壓拓?fù)?b class='flag-5'>中使</b>用TPS6290x

    何在汽車CAN應(yīng)用中使用負(fù)邊緣觸發(fā)觸發(fā)器節(jié)省電力

    電子發(fā)燒友網(wǎng)站提供《如何在汽車CAN應(yīng)用中使用負(fù)邊緣觸發(fā)觸發(fā)器節(jié)省電力.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 10:06 ?0次下載
    如<b class='flag-5'>何在</b>汽車CAN應(yīng)用<b class='flag-5'>中使</b>用負(fù)邊緣觸發(fā)觸發(fā)器節(jié)省電力

    何在RTOS中使用spi_interface.c?

    何在 RTOS 中使用 spi_interface.c?
    發(fā)表于 07-10 06:29

    請問cmakelists中的變量如何在程序中使用?

    大家好, 我有個問題請教,cmakelists.txt中的變量如何在程序中使用?比如以下cmakelists.txt文件中的PROJECT_VER變量,我如何在c程序中使用?試了很多辦
    發(fā)表于 06-11 07:34

    FX2 CY7C68013A如何在C++環(huán)境中使用LoadEEPROM函數(shù)?

    我使用的是 FX2 CY7C68013A 芯片。 我知道 CyUSB.NET 庫中有我需要的 LoadEEPROM 函數(shù)。 請問如何在 C++ 環(huán)境而不是 C#/CLR 環(huán)境中使用該函
    發(fā)表于 05-31 06:59

    工業(yè)計算機(jī)是什么?如何在不同行業(yè)中使用?

    工業(yè)電腦是專為在工業(yè)環(huán)境中使用而設(shè)計的計算機(jī)。它們可用于各個行業(yè),包括制造、運(yùn) 輸和能源。它們通常比普通計算機(jī)更強(qiáng)大,并且能夠在大多數(shù)計算機(jī)無法運(yùn)行的環(huán)境中運(yùn)行。在本文中,我們將更深入地了解什么是工業(yè)計算機(jī)以及它們?nèi)?/div>
    的頭像 發(fā)表于 04-01 15:45 ?685次閱讀
    工業(yè)計算機(jī)是什么?如<b class='flag-5'>何在</b>不同行業(yè)<b class='flag-5'>中使</b>用?

    fpga仿真軟件有哪些

    此外,還有一些其他的FPGA仿真軟件,如Gvim和ISE等,可以根據(jù)具體需求和開發(fā)環(huán)境進(jìn)行選擇。需要注意的是,不同的FPGA仿真軟件可能具有
    的頭像 發(fā)表于 03-15 14:00 ?2990次閱讀

    何在測試中使用ChatGPT

    Dimitar Panayotov 在 2023 年 QA Challenge Accepted 大會 上分享了他如何在測試中使用 ChatGPT。
    的頭像 發(fā)表于 02-20 13:57 ?692次閱讀

    何在DAVE IDE中使用XMC7200?

    能否在 DAVE IDE 中為 XMC 7200 EVK KIT 構(gòu)建應(yīng)用程序。我嘗試打開一個項目但它最多只能顯示 XMC48000。如何在 DAVE IDE 中使用 XMC7200 請幫忙。
    發(fā)表于 01-26 06:32

    何在ModustoolBox中使用SEGGER AppWizard GUI生成器軟件和CY8CKIT-062-WIFI-BT?

    我想知道如何在 ModustoolBox 中使用 SEGGER AppWizard GUI 生成器軟件和 CY8CKIT-062-WIFI-BT? 我構(gòu)建了 GUI 并導(dǎo)出了,但我不知道如何在
    發(fā)表于 01-19 06:26

    何在Saber中使用C語言進(jìn)行建模呢?

    Saber不僅支持MAST語言和VHDL‐AMS語言建立模型,也支持C語言建立器件模型,這對熟悉C語言編程的用戶帶來了很大的方便和實用。采用C語言
    的頭像 發(fā)表于 12-05 11:30 ?1026次閱讀
    如<b class='flag-5'>何在</b>Saber<b class='flag-5'>中使</b>用C<b class='flag-5'>語言</b>進(jìn)行建模呢?

    何在Linux中使用htop命令

    本文介紹如何在 Linux 中使用 htop 命令。
    的頭像 發(fā)表于 12-04 14:45 ?1681次閱讀
    如<b class='flag-5'>何在</b>Linux<b class='flag-5'>中使</b>用htop命令

    C語言運(yùn)行環(huán)境是什么

    C語言運(yùn)行環(huán)境(C language runtime environment)是指在執(zhí)行C語言程序時所需的軟件及硬件環(huán)境。C
    的頭像 發(fā)表于 11-27 16:13 ?3186次閱讀