0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA的GigE Vison IP設(shè)計(jì)要點(diǎn)分析

454398 ? 來源:ZYNQ分享客 ? 作者:ZYNQ分享客 ? 2020-11-11 16:23 ? 次閱讀

本文簡要描述基于FPGA和萬兆網(wǎng)的GigE Vison IP設(shè)計(jì)方案。

一、GigE Vsion協(xié)議要點(diǎn)

GigE Vison協(xié)議基于普通的以太網(wǎng)物理鏈路,運(yùn)行在UDP協(xié)議層之上,包含控制協(xié)議GVCP和數(shù)據(jù)流協(xié)議GVSP兩大部分,整個層次結(jié)構(gòu)如下圖1所示。

圖1 GigeVison協(xié)議層次結(jié)構(gòu)圖

圖1 GigeVison協(xié)議層次結(jié)構(gòu)圖

GigE Vison協(xié)議的要點(diǎn)如下:

(1)上電或復(fù)位完成后必須先進(jìn)行IP配置和設(shè)備枚舉,必須支持DHCP和LLA(Auto IP)兩種IP配置方式;

(2)在UDP層上建立應(yīng)答握手機(jī)制以保證傳輸,GVCP采用3956端口,數(shù)據(jù)長度必須以32bit為邊界,數(shù)據(jù)不可分包傳輸;

(3)設(shè)備必須支持心跳功能以確認(rèn)處于連接狀態(tài);

(4)支持控制(1個)、數(shù)據(jù)流(1~512個)和消息(0~1個)三種通道,每個通道分配不同的UDP端口,控制通道支持三種不同的訪問權(quán)限;

(5)必須支持最小規(guī)模的ICMP(GigeVsion要求必須支持Ping命令);

(6)GVSP的數(shù)據(jù)包以字節(jié)為邊界,數(shù)據(jù)包的大小由第一個有效的test packets決定,支持錯誤恢復(fù)和流控制;

(7)GVSP數(shù)據(jù)傳輸?shù)膯挝粸锽lock,一個完整的Block由Data Leader、Data Payload和Data Trailer構(gòu)成;

(8)所有的Gige Vison相機(jī)都必須在他們的XML描述文件中強(qiáng)制制定相機(jī)的參數(shù)信息

(9)bootstrap寄存器及XML文件需要非易失Flash硬件支持。

二、IP功能設(shè)計(jì)方案要點(diǎn)描述

GigE Vison邏輯IP需要實(shí)現(xiàn)圖1所示的所有協(xié)議層,方案中硬件平臺基于Xilinx 7系列器件XC7K325T/XC7K160T,下圖2是GigE Vision IP核的模塊框架結(jié)構(gòu)。

圖2 GigE Vision IP核的框架結(jié)構(gòu)

圖2 GigE Vision IP核的框架結(jié)構(gòu)

(1)物理層

物理層使用Xilinx 10G Ethernet Subsystem IP核,版本v3.1。對外數(shù)據(jù)接口例化為AXI4 Stream,位寬64bit,數(shù)據(jù)時(shí)鐘156.25MHz;配置接口例化為AXI4-Lite,位寬32bit,時(shí)鐘頻率100MHz。

IP核配置通過Microblaze軟核完成,并且使能Jumbo幀功能。功能、接口、配置和使用說明參見Xilinx官方文檔PG157。

(2)IP/UDP層

IP層協(xié)議僅支持IPv4版本,IP數(shù)據(jù)報(bào)頭Options項(xiàng)為空;需要支持DHCP、ARP和ICMP協(xié)議,內(nèi)部通信采用64位AXI4 Steam總線通信。

(3)GVCP/GVSP協(xié)議

支持GigE Vison Specification version 1.0標(biāo)準(zhǔn)描述的所有必須支持的項(xiàng),GVSP數(shù)據(jù)包負(fù)載類型支持Payload type = RawData/YUV422-8bit/RGB888。為完整實(shí)現(xiàn)功能,部分控制放在處理器上完成(本設(shè)計(jì)實(shí)例使用MicroBlaze),需要掉電保存的項(xiàng)存儲在外部Flash中,需要大量緩存的數(shù)據(jù)存儲在片外DDR中。

RTL描述語言使用Verilog,編譯工具使用XilinxVivado2016.4,仿真工具使用ModelSim 10.2C。

備注:

(1)為簡化邏輯端的設(shè)計(jì)難度,可將GVCP協(xié)議放在Microblaze里面實(shí)現(xiàn),使用ZYNQ系列器件的,可以直接在PS里運(yùn)行;

(2)物理層使用千兆網(wǎng)的,本方案仍然可行,只需修改物理層接口即可;使用ZYNQ系列器件的,可以直接在PS里軟件實(shí)現(xiàn)所有的GVCP和GVSP協(xié)議;

三、測試結(jié)果

在Xilinx K7 325T器件上實(shí)現(xiàn)完整的系統(tǒng)(包括DDRMig、Microblaze等),占用Slice約30K。

與PC進(jìn)行點(diǎn)對點(diǎn)數(shù)據(jù)傳輸測試,穩(wěn)定傳輸速率約為6.5Gbps,可輕松傳輸4K無壓縮原圖或一些特殊圖像數(shù)據(jù)如超聲、CT、雷達(dá)等原始數(shù)據(jù)。

編輯:hfy
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600750
  • 以太網(wǎng)
    +關(guān)注

    關(guān)注

    40

    文章

    5323

    瀏覽量

    170547
  • GigE Vision
    +關(guān)注

    關(guān)注

    0

    文章

    5

    瀏覽量

    5752
收藏 人收藏

    評論

    相關(guān)推薦

    如何申請xilinx IP核的license

    在使用FPGA的時(shí)候,有些IP核是需要申請后才能使用的,本文介紹如何申請xilinx IP核的license。
    的頭像 發(fā)表于 10-25 16:48 ?90次閱讀
    如何申請xilinx <b class='flag-5'>IP</b>核的license

    如何查看公共IP地址安全?這些要點(diǎn)要牢記

    網(wǎng)絡(luò)已經(jīng)離不開我們的生活,當(dāng)你在訪問網(wǎng)絡(luò)時(shí),不管是為了娛樂、工作還是其他目的,不可避免要接觸公共IP地址,為確保自己的個人隱私、工作數(shù)據(jù)等的安全我們必須要確保IP地址的安全穩(wěn)定,那我們要如何查看公共
    的頭像 發(fā)表于 09-07 11:18 ?219次閱讀

    將ASIC IP核移植到FPGA上——更新概念并推動改變以完成充滿挑戰(zhàn)的任務(wù)!

    本系列文章從數(shù)字芯片設(shè)計(jì)項(xiàng)目技術(shù)總監(jiān)的角度出發(fā),介紹了如何將芯片的產(chǎn)品定義與設(shè)計(jì)和驗(yàn)證規(guī)劃進(jìn)行結(jié)合,詳細(xì)講述了在FPGA上使用IP核來開發(fā)ASIC原型項(xiàng)目時(shí),必須認(rèn)真考慮的一些問題。文章從介紹
    的頭像 發(fā)表于 08-10 17:13 ?747次閱讀
    將ASIC <b class='flag-5'>IP</b>核移植到<b class='flag-5'>FPGA</b>上——更新概念并推動改變以完成充滿挑戰(zhàn)的任務(wù)!

    東京裸機(jī)云多IP服務(wù)器全面分析

    東京裸機(jī)云多IP服務(wù)器是一種提供多IP地址分配和高性能網(wǎng)絡(luò)服務(wù)的云計(jì)算解決方案,廣泛應(yīng)用于需要多IP管理和高穩(wěn)定性的網(wǎng)絡(luò)應(yīng)用。下面將從幾個方面具體介紹東京裸機(jī)云多IP服務(wù)器,rak部落
    的頭像 發(fā)表于 07-22 09:49 ?250次閱讀

    友思特應(yīng)用 多接口的智駕無憂:GigE相機(jī)在自動駕駛數(shù)據(jù)采集系統(tǒng)的穩(wěn)定應(yīng)用

    GigE接口相機(jī)是自動駕駛數(shù)據(jù)采集的高性價(jià)比選擇。友思特多GigE接口支持PTP的采集設(shè)備系統(tǒng)級方案 BRICK2,為多傳感器數(shù)據(jù)記錄測試提供完整的解決方案,保障智能自動駕駛的精準(zhǔn)與穩(wěn)定。
    的頭像 發(fā)表于 07-02 17:57 ?570次閱讀
    友思特應(yīng)用 多接口的智駕無憂:<b class='flag-5'>GigE</b>相機(jī)在自動駕駛數(shù)據(jù)采集系統(tǒng)的穩(wěn)定應(yīng)用

    FPGAIP軟核使用技巧

    ,可以嘗試對IP軟核進(jìn)行優(yōu)化。例如,可以調(diào)整參數(shù)配置、優(yōu)化布局布線、修改代碼等。 在調(diào)試過程中,可以利用FPGA開發(fā)工具提供的調(diào)試功能,如邏輯分析儀、波形查看器等,幫助定位問題和解決問題。 知識產(chǎn)權(quán)保護(hù)
    發(fā)表于 05-27 16:13

    關(guān)于FPGA IP

    對于深入學(xué)習(xí)使用FPGA的小伙伴們,特別是一些復(fù)雜的、大規(guī)模的設(shè)計(jì)應(yīng)用,適宜的IP核對開發(fā)能起到事半功倍的作用。IP核的概念與我們sdk里庫的概念相似。IP即電路功能模塊,用戶可以直接
    發(fā)表于 04-29 21:01

    FPGA開發(fā)如何降低成本,比如利用免費(fèi)的IP內(nèi)核

    FPGA開發(fā)過程中,利用免費(fèi)的IP內(nèi)核可以顯著提高開發(fā)效率,減少設(shè)計(jì)成本。以下是一些關(guān)于如何利用免費(fèi)IP內(nèi)核進(jìn)行FPGA開發(fā)的建議: 選擇適合的IP
    發(fā)表于 04-28 09:41

    如何利用Tcl腳本在Manage IP方式下實(shí)現(xiàn)對IP的高效管理

    在Vivado下,有兩種方式管理IP。一種是創(chuàng)建FPGA工程之后,在當(dāng)前工程中選中IP Catalog,生成所需IP,這時(shí)相應(yīng)的IP會被自動
    的頭像 發(fā)表于 04-22 12:22 ?659次閱讀
    如何利用Tcl腳本在Manage <b class='flag-5'>IP</b>方式下實(shí)現(xiàn)對<b class='flag-5'>IP</b>的高效管理

    一鍵解鎖:將任意圖像設(shè)備秒變GigE Vision設(shè)備的終極秘訣

    ?物聯(lián)網(wǎng)發(fā)展正在走向輕便和低成本。友思特eBUS Edge軟件方案,可將任意圖像設(shè)備一鍵升級為GigE Vision設(shè)備,讓機(jī)器視覺便捷處理更進(jìn)一步。
    的頭像 發(fā)表于 03-13 17:20 ?597次閱讀
    一鍵解鎖:將任意圖像設(shè)備秒變<b class='flag-5'>GigE</b> Vision設(shè)備的終極秘訣

    MCU市場分析:IP內(nèi)核與產(chǎn)品情況

    電子發(fā)燒友網(wǎng)站提供《MCU市場分析:IP內(nèi)核與產(chǎn)品情況.pdf》資料免費(fèi)下載
    發(fā)表于 12-12 17:36 ?11次下載

    FPGA優(yōu)質(zhì)開源模塊-SRIO IP核的使用

    本文介紹一個FPGA常用模塊:SRIO(Serial RapidIO)。SRIO協(xié)議是一種高速串行通信協(xié)議,在我參與的項(xiàng)目中主要是用于FPGA和DSP之間的高速通信。有關(guān)SRIO協(xié)議的詳細(xì)介紹網(wǎng)上有很多,本文主要簡單介紹一下SRIO I
    的頭像 發(fā)表于 12-12 09:19 ?1939次閱讀
    <b class='flag-5'>FPGA</b>優(yōu)質(zhì)開源模塊-SRIO <b class='flag-5'>IP</b>核的使用

    FPGA實(shí)現(xiàn)基于Vivado的BRAM IP核的使用

    Xilinx公司的FPGA中有著很多的有用且對整個工程很有益處的IP核,比如數(shù)學(xué)類的IP核,數(shù)字信號處理使用的IP核,以及存儲類的IP核,本
    的頭像 發(fā)表于 12-05 15:05 ?1393次閱讀

    FPGAIP核學(xué)習(xí)的正確打開方式

    本帖最后由 jf_25420317 于 2023-11-17 11:10 編輯 FPGA開發(fā)過程中,利用各種IP核,可以快速完成功能開發(fā),不需要花費(fèi)大量時(shí)間重復(fù)造輪子。 當(dāng)我們面對使用新IP
    發(fā)表于 11-17 11:09