0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

中國(guó)芯片制造的各環(huán)節(jié)與國(guó)際的差距

hl5C_deeptechch ? 來(lái)源:DeepTech深科技 ? 作者:DeepTech深科技 ? 2020-10-30 10:44 ? 次閱讀

“華為今天遇到的困難,不是依托全球化平臺(tái),在戰(zhàn)略方向上壓上重兵產(chǎn)生突破有什么錯(cuò)誤,而是我們?cè)O(shè)計(jì)的先進(jìn)芯片,國(guó)內(nèi)的基礎(chǔ)工業(yè)還造不出來(lái),我們不可能又做產(chǎn)品,又去制造芯片。”10 月 27 日,華為內(nèi)部網(wǎng)站 “心聲社區(qū)” 刊發(fā)出華為創(chuàng)始人任正非于 9 月中旬的一段講話(huà)。

兩天后的 10 月 29 日,英國(guó)《金融時(shí)報(bào)》透露,美國(guó)商務(wù)部表示,他們將允許更多的芯片公司向華為供貨,前提是不用于華為的 5G 業(yè)務(wù)。

芯片已經(jīng)成為中國(guó)高技術(shù)產(chǎn)業(yè)的心頭之痛。盡管數(shù)十年來(lái)中國(guó)在這個(gè)領(lǐng)域傾注了幾輩人的努力,但最終依然面臨被卡脖子的威脅。

國(guó)人普遍關(guān)心的一個(gè)問(wèn)題是:中國(guó)在芯片研究上究竟走到了怎樣一個(gè)水平?本文從微觀角度,為你解讀芯片制造各環(huán)節(jié)與國(guó)際的差距。

芯片設(shè)計(jì)之母:EDA 軟件

中國(guó)在這一方面與國(guó)際前沿差距最大。

芯片設(shè)計(jì)環(huán)節(jié)中,EDA(Electronic Design Automation)軟件是集成電路設(shè)計(jì)必需、也是最重要的軟件工具。利用 EDA 工具,芯片的電路設(shè)計(jì)、性能分析、設(shè)計(jì) IC 版圖的整個(gè)過(guò)程都可以由計(jì)算機(jī)自動(dòng)處理完成,當(dāng)前在超大規(guī)模集成電路的設(shè)計(jì)過(guò)程中,EDA 工具不可或缺。如果沒(méi)有 EDA 軟件,面對(duì)今天數(shù)十億上百億的晶體管集成電路,光靠手工電路設(shè)計(jì)、繪圖簡(jiǎn)直無(wú)法想象;沒(méi)有 EDA 工具,再先進(jìn)的芯片設(shè)計(jì)公司都將無(wú)法順利設(shè)計(jì)出高端芯片。高通英特爾、AMD三星等芯片巨頭都需要采購(gòu) EDA 軟件和服務(wù),華為當(dāng)然也不例外。此次華為海思被斷供就包括 EDA 工具,雖然華為購(gòu)買(mǎi)了 EDA 的授權(quán),但是最新的版本已經(jīng)無(wú)法提供服務(wù)。

做出先進(jìn) EDA 工具的難度極大,主要有以下兩方面的原因。首先是技術(shù)方面,EDA 工具可以將復(fù)雜物理問(wèn)題用數(shù)學(xué)模型高度精確化表述,在虛擬軟件中重現(xiàn)芯片制造過(guò)程中的各種物理效應(yīng)和問(wèn)題;其次,利用數(shù)學(xué)工具解決多目標(biāo)多約束的最優(yōu)化問(wèn)題,可以求得特定半導(dǎo)體工藝條件下,性能、功耗、面積、電氣特性、成本等的最優(yōu)解,最后驗(yàn)證模型一致性問(wèn)題,確保芯片在多個(gè)設(shè)計(jì)環(huán)節(jié)的迭代中邏輯功能一致。

EDA 工具不可以獨(dú)立于半導(dǎo)體工業(yè)單獨(dú)開(kāi)發(fā)。芯片廠家想開(kāi)發(fā)出性能優(yōu)越的 EDA 工具,一方面自身要有好的算法,另一方面還需要和半導(dǎo)體制造工藝相結(jié)合,上下游經(jīng)過(guò)不斷磨合才能改良迭代到最佳狀態(tài)。僅有很好的軟件工程師,在算法方面有可能取得一定的技術(shù)突破;但 EDA 設(shè)計(jì)的后端工具要和先進(jìn)工藝相結(jié)合,工程師不僅要懂軟件,還要懂?dāng)?shù)學(xué)物理等基礎(chǔ)科學(xué),以及微電子工藝,沒(méi)有自主研發(fā)的先進(jìn)工藝,要做出先進(jìn)優(yōu)越的 EDA 就不可能。

從商業(yè)角度來(lái)看,EDA 行業(yè)存在高度壟斷,美國(guó)的 Synopsys、Cadence 以及 2016 年被德國(guó)西門(mén)子收購(gòu)的明導(dǎo)國(guó)際(Mentor),壟斷了全球 90% 的市場(chǎng)份額。這三家公司各自經(jīng)過(guò)長(zhǎng)期的產(chǎn)業(yè)鏈上下游磨合,已經(jīng)形成了完整的生態(tài)體系。可以說(shuō)全球幾乎所有的芯片設(shè)計(jì)公司都是他們的客戶(hù)。站在商業(yè)的角度,芯片公司如果是自己開(kāi)發(fā) EDA 自己用,建立一個(gè)封閉生態(tài),需要花費(fèi)大量的人力物力財(cái)力,在沒(méi)有用戶(hù)的情況下,商業(yè)意義會(huì)大打折扣。

在 EDA 環(huán)節(jié),2018 年中國(guó)現(xiàn)存 10 余家 EDA 公司的銷(xiāo)售額累計(jì)僅有 3.5 億元,占全球份額不足 1%,與世界先進(jìn)水平的差距巨大。這一方面是因?yàn)閲?guó)內(nèi) EDA 公司起步晚,本身不具備先進(jìn)的算法;另一方面則是缺乏上下游協(xié)同整合的生態(tài)體系。國(guó)內(nèi)華大九天是一家從事 EDA 工具開(kāi)發(fā)的公司,被產(chǎn)業(yè)界寄予厚望;但與 Synopsys、Cadence、Mentor 相比,實(shí)力過(guò)于懸殊,國(guó)內(nèi)芯片設(shè)計(jì)公司因此幾乎 100% 采用國(guó)外的 EDA 工具。這樣就造成惡性循環(huán),短時(shí)間內(nèi)看不到縮小和 Synopsys、Cadence、Mentor 技術(shù)差距的可能性。

這一塊要想趕上前沿水平,還需要國(guó)內(nèi)產(chǎn)業(yè)上下游眾多參與者的長(zhǎng)期努力。當(dāng)然,EDA 畢竟是軟件產(chǎn)品,在被限制使用后,面臨斷頭風(fēng)險(xiǎn)的公司也可以用盜版產(chǎn)品勉強(qiáng)生存,涉及到的法律問(wèn)題不在本文探討范圍。

IP 核技術(shù):手機(jī) IP 核處于空白狀態(tài)

IP 核(Intellectual Property Core)是指在芯片設(shè)計(jì)中可以重復(fù)使用的、具有自主知識(shí)產(chǎn)權(quán)功能的設(shè)計(jì)模塊。設(shè)計(jì)公司無(wú)需對(duì)芯片每個(gè)細(xì)節(jié)進(jìn)行設(shè)計(jì),通過(guò)購(gòu)買(mǎi)成熟可靠的 IP 方案,實(shí)現(xiàn)某個(gè)特定功能,這種類(lèi)似搭積木的開(kāi)發(fā)模式,可以大大減輕工程師的負(fù)擔(dān);調(diào)用 IP 核能避免重復(fù)勞動(dòng),縮短芯片的開(kāi)發(fā)時(shí)間。

提到 IP 核就不得不說(shuō)下 ARM,該公司成立于 1990 年,總部位于英國(guó)劍橋。2016 年 7 月 18 日,ARM 公司被日本軟銀以 234 億英鎊的價(jià)格收購(gòu),目前,英偉達(dá)又向該公司拋出橄欖枝。ARM 公司通過(guò)出售芯片技術(shù)授權(quán),建立起新型的微處理器設(shè)計(jì)、生產(chǎn)和銷(xiāo)售商業(yè)模式。作為這種模式的發(fā)明者,ARM 公司將各種設(shè)計(jì)庫(kù)虛擬化,然后授權(quán)給其它企業(yè)使用,產(chǎn)品交付的形式可以不再是具體的實(shí)物,而是一種數(shù)字化 IP 模塊,其他企業(yè)也可以在這種架構(gòu)上根據(jù)自身的需求繼續(xù)自由開(kāi)發(fā)。

ARM 這種半定制化的模式對(duì)整個(gè)半導(dǎo)體行業(yè)產(chǎn)生了重大的影響,芯片從物理實(shí)體變成了軟件定義,還能滿(mǎn)足各個(gè)廠商的不同需求,因此很多芯片設(shè)計(jì)公司紛紛與 ARM 合作。

目前全球主要 IP 核供應(yīng)商主要包括 ARM、synopsys(美國(guó),沒(méi)錯(cuò),就是上文的 EDA 公司)、Cadence(美國(guó),同時(shí)提供 EDA)、Imagination Technologies(英國(guó))、Lattice Semiconductor(美國(guó))、CEVA(美國(guó))、Rambus(美國(guó))、Mentor Graphics(美國(guó))、eMemory(中國(guó)臺(tái)灣)和 Sonics(美國(guó))。

可以看到在 IP 核領(lǐng)域也是國(guó)外公司占主導(dǎo)地位,其中 ARM 公司更是壟斷著全球手機(jī)處理器和平板電腦處理器市場(chǎng),無(wú)論是蘋(píng)果 A 系列芯片,還是高通驍龍芯片,華為麒麟芯片等,都需要用到 ARM 芯片 IP 核。中國(guó)集成電路設(shè)計(jì)公司進(jìn)步較快也離不開(kāi) ARM 這種模式的支持,可以說(shuō),沒(méi)有 ARM,中國(guó)整個(gè)數(shù)字 IC 產(chǎn)業(yè)不會(huì)有如此快的進(jìn)步。

IP 核方面,中國(guó)的芯原微電子在 2019 年占到了市場(chǎng)銷(xiāo)售份額的 1.8%,全球排名第七,不過(guò)芯原微并不提供手機(jī)處理器的 IP 核,該公司主要是專(zhuān)業(yè)領(lǐng)域的半導(dǎo)體 IP 核供應(yīng)商,應(yīng)用范圍包括智能穿戴設(shè)備、智能家居、汽車(chē)電子、數(shù)據(jù)中心,目前涵蓋的產(chǎn)品包括 GPU、NPU、ISP、射頻 IP 等。該公司目前在 A 股科創(chuàng)板上市,收入為 16 億元,凈利潤(rùn)還處于虧損狀態(tài),卻有接近 500 億元的市值,反映了國(guó)內(nèi)市場(chǎng)對(duì)上游 IP 核環(huán)節(jié)的渴求和期望。

中國(guó)芯片設(shè)計(jì)公司在手機(jī)處理器的 IP 核上處于空白狀態(tài),廠商自己從頭開(kāi)始投入研發(fā),短期內(nèi)不現(xiàn)實(shí);現(xiàn)在可以做的是在已經(jīng)授權(quán)的 IP 核上優(yōu)化開(kāi)發(fā),例如華為海思采購(gòu)的 IP 核就是在 ARM 公司授權(quán)的 v8 架構(gòu)的基礎(chǔ)上再進(jìn)行芯片設(shè)計(jì)。如果華為海思自行設(shè)計(jì) IP 核,首先面臨專(zhuān)利問(wèn)題;其次需要投入大量人力物力,試錯(cuò)率還不能太高;做出來(lái)的芯片是否有競(jìng)爭(zhēng)力、能否和高通等同行競(jìng)爭(zhēng),都是要面對(duì)的問(wèn)題。不過(guò),在不考慮商業(yè)因素的極端情況下,此環(huán)節(jié)對(duì)芯片設(shè)計(jì)業(yè)有影響,但并不致命。

芯片設(shè)計(jì)環(huán)節(jié):中國(guó)占據(jù)了中低端

這一塊,中國(guó)的現(xiàn)狀是中低端領(lǐng)先,高端差距大,但有望逐步趕上。

在討論設(shè)計(jì)之前,讓我們先粗略了解一下半導(dǎo)體行業(yè)的模式。半導(dǎo)體公司按業(yè)務(wù)可以分為三類(lèi)模式,一類(lèi)是 IDM 模式,即芯片上游設(shè)計(jì)、中游制造、下游封裝測(cè)試都由自己完成,代表公司有英特爾、德州儀器、三星等;另一類(lèi)是輕資產(chǎn)的 fabless 模式,即只設(shè)計(jì)芯片,制造交給晶圓廠,代表公司有高通、博通、華為海思、聯(lián)發(fā)科等;還有一類(lèi)是 foundry,不設(shè)計(jì)只代工的重資產(chǎn)模式,代表公司有臺(tái)積電、聯(lián)電、中芯國(guó)際、格羅方德等。

芯片按照晶體管柵級(jí)線(xiàn)寬的工藝類(lèi)別又有亞微米及以上、128nm、64nm、32nm、28nm、14nm、7nm、5nm 等,這種按照摩爾定律演進(jìn)的規(guī)律反映出納米數(shù)越小,技術(shù)和工藝難度越大。

先看看關(guān)注度最高的芯片設(shè)計(jì)環(huán)節(jié)。芯片設(shè)計(jì)是將系統(tǒng)、邏輯與性能的設(shè)計(jì)要求轉(zhuǎn)化為具體的物理版圖的過(guò)程,類(lèi)似于建筑設(shè)計(jì),是一個(gè)把產(chǎn)品從抽象過(guò)程一步步具體化、直至最終物理實(shí)現(xiàn)的過(guò)程。

芯片設(shè)計(jì)產(chǎn)業(yè)的現(xiàn)狀是歐美占據(jù)高端,中國(guó)占據(jù)了中低端。當(dāng)前主要的芯片設(shè)計(jì)公司有美國(guó)的高通(通信芯片)、博通(通信芯片)、英偉達(dá)(GPU、AI 芯片)、AMD(CPU、GPU)、賽靈思(無(wú)線(xiàn)芯片、FPGA),中國(guó)臺(tái)灣的聯(lián)發(fā)科(通信芯片、消費(fèi)電子芯片)、聯(lián)詠科技和瑞昱,中國(guó)內(nèi)地的海思(通信芯片、手機(jī)處理器、安防芯片、桌面 CPU 等)。

從地區(qū)分布來(lái)看,2018 年美國(guó)在全球芯片設(shè)計(jì)領(lǐng)域擁有 68% 的市場(chǎng)占有率,居世界第一;中國(guó)臺(tái)灣地區(qū)市場(chǎng)占有率約 16%,居全球第二;中國(guó)大陸則擁有 13% 的市場(chǎng)占有率,位居世界第三(不同統(tǒng)計(jì)模式數(shù)據(jù)略有出入)。

傳統(tǒng)上認(rèn)為設(shè)計(jì)業(yè)是上游,技術(shù)含量最高,進(jìn)入門(mén)檻也高,但從實(shí)際發(fā)展情況看,因?yàn)槭禽p資產(chǎn)的 Fabless 模式,主要以人才為主,和芯片制造業(yè)相比無(wú)需上百億的巨額資金投入,憑借龐大的下游應(yīng)用市場(chǎng),近幾年中國(guó)的芯片設(shè)計(jì)公司進(jìn)步最快。

高端方面,華為海思已經(jīng)成為全球前十大的芯片設(shè)計(jì)公司。海思不僅在工藝上處于全球第一梯隊(duì),其最新款的麒麟 9000 手機(jī) CPU 是世界上首個(gè)基于 5nm 工藝的 5G SoC,相對(duì)于近期剛發(fā)布的 iPhone 12 手機(jī)處理器 A14 加外掛高通基帶實(shí)現(xiàn) 5G 已經(jīng)處于領(lǐng)先地位,而且海思在手機(jī)應(yīng)用的其它芯片也基本實(shí)現(xiàn)了自給自足。

華為之所以在手機(jī)領(lǐng)域能成功,除了投入大量的人力物力以外,華為本身廣泛的手機(jī)產(chǎn)品矩陣給芯片提供了用武之地,通過(guò)在自己的手機(jī)上進(jìn)行應(yīng)用和反復(fù)優(yōu)化,逐漸迭代出如今的高性能手機(jī)芯片。

中低端方面,中國(guó)芯片設(shè)計(jì)企業(yè)主要產(chǎn)品涵蓋了射頻芯片、觸控芯片、閃存芯片、存儲(chǔ)芯片、藍(lán)牙芯片、顯示芯片等多個(gè)種類(lèi),在部分領(lǐng)域已經(jīng)處于全球領(lǐng)先狀態(tài),但還沒(méi)有徹底解決中國(guó) “無(wú)芯之痛” 的問(wèn)題 —— 需要明確的是,國(guó)人常說(shuō)的 “缺芯少魂” 的 “芯” 指的是高端的計(jì)算機(jī)通用芯片,主要是電腦主流 CPU。沒(méi)錯(cuò),就是英特爾幾十年如一日耕耘的領(lǐng)域。

談到通用 CPU 芯片,這里再做個(gè)簡(jiǎn)單普及。CPU 有指令架構(gòu),分別是 CICS(復(fù)雜指令集)和 RISC(精簡(jiǎn)指令集)兩類(lèi)。CISC 就是以英特爾和 AMD 為代表的 X86 架構(gòu),而 RISC 則包括 ARM、MIPS 等架構(gòu)。CISC 在并行處理方面具有明顯優(yōu)勢(shì),穩(wěn)定性較好,RISC 在硬件層面制造工藝相對(duì)簡(jiǎn)單,產(chǎn)品功耗低。但從性能上看,CISC 和 RISC 卻沒(méi)有絕對(duì)的優(yōu)劣之分?,F(xiàn)實(shí)應(yīng)用場(chǎng)景中,X86 架構(gòu)主要用于臺(tái)式機(jī)和服務(wù)器(可以插電,優(yōu)先考慮并行運(yùn)算能力,功耗次之),ARM 架構(gòu)主要用于手機(jī)和其它便攜式電子產(chǎn)品(不插電,優(yōu)先考慮低功耗)。

英特爾不外賣(mài) X86 架構(gòu),憑借多年的積累,在臺(tái)式機(jī)領(lǐng)域,英特爾聯(lián)合微軟形成了牢固的 Wintel 體系,全球數(shù)據(jù)中心絕大多數(shù)都是采用英特爾的 X86 架構(gòu)服務(wù)器芯片,有了完整的生態(tài)體系。這種體系除有較高的技術(shù)門(mén)檻外,其多年形成的生態(tài)壁壘更是將新進(jìn)入者擋在門(mén)外,其他 ARM 架構(gòu)服務(wù)器芯片廠商要打破英特爾的壟斷困難重重。也就是說(shuō),通過(guò)技術(shù)做出一款 CPU 并不難,難的是如何通過(guò)與成千上萬(wàn)款軟件適配,以迭代出性能最好的芯片生態(tài)體系。

華為海思基于 ARM 架構(gòu)在桌面通用 CPU 也做了布局,并且研發(fā)出擁有 7nm 工藝的桌面和服務(wù)器 CPU 鯤鵬。由于起步晚,加上 Wintel 聯(lián)盟堅(jiān)不可摧,沒(méi)有廣泛的下游應(yīng)用,芯片無(wú)法迭代優(yōu)化,在性能上與英特爾、AMD 的最新 CPU 相比有很大差距,業(yè)內(nèi)人士初步估算是 5 年。

有人也許會(huì)問(wèn),鯤鵬的 CPU 不是可以做到 7nm 了嗎,為何還落后于英特爾這么多?回答這個(gè)問(wèn)題比較復(fù)雜,簡(jiǎn)單理解就是芯片是一項(xiàng)系統(tǒng)工程,除工藝以外,還有芯片架構(gòu)、微結(jié)構(gòu),指令集等眾多因素影響,其中很多都是多年積累的原創(chuàng)成果,所以先進(jìn)的工藝并不代表先進(jìn)的性能,例如英特爾用 0.13 微米工藝能做出 2GHz,而我們要用 45nm 才能實(shí)現(xiàn),這就是差距。在桌面 CPU 領(lǐng)域,中國(guó)還有龍芯(MIPS 架構(gòu))和飛騰(ARM 架構(gòu)在桌面端生態(tài)欠缺),但在生態(tài)的構(gòu)建上還有很大差距,也就是說(shuō)做出來(lái)芯片后,用的人不多,進(jìn)而芯片性能無(wú)法優(yōu)化提升,性能不提升導(dǎo)致用的人更少,生態(tài)體系更殘缺,這樣就會(huì)陷入惡性循環(huán),久而久之失去競(jìng)爭(zhēng)力而消逝于市場(chǎng)。

盡管高端芯片方面有差距,但相比 EDA 和 IP 核,芯片設(shè)計(jì)方面的現(xiàn)狀要好很多,因?yàn)橹袊?guó)有巨大的應(yīng)用場(chǎng)景,只要給這些企業(yè)應(yīng)用機(jī)會(huì),解決從能用再到好用,在 EDA 和 IP 核有保障的情況下,高端芯片設(shè)計(jì)趕上國(guó)際先進(jìn)水平只是時(shí)間問(wèn)題。

芯片制造環(huán)節(jié):高端缺失

芯片制造是集技術(shù)、工藝、資金為一體的產(chǎn)業(yè),在整個(gè)過(guò)程中扮演最重要的角色,是目前中國(guó)被美國(guó)禁令卡得最難受的環(huán)節(jié),也是中國(guó)目前和世界領(lǐng)先技術(shù)水平差距最大的環(huán)節(jié)。美國(guó)脅迫臺(tái)積電斷供華為海思,起到了 “絕殺” 的作用,致使華為未來(lái)面臨無(wú)芯可用的危機(jī)。

芯片制造是上下游最協(xié)同的產(chǎn)業(yè)。上游是芯片的原材料硅片制造廠商,中游是芯片設(shè)計(jì)公司,下游就是基于上游生產(chǎn)的硅片,將中游的芯片設(shè)計(jì)實(shí)現(xiàn)出來(lái)的晶圓加工廠商。整個(gè)過(guò)程需要在硅片上反復(fù)循環(huán)數(shù)百至數(shù)千道前道工藝,包括氧化、掩膜、光刻、清洗、刻蝕、離子注入、薄膜沉積等,在硅片的表面構(gòu)建數(shù)億乃至幾十億的晶體管結(jié)構(gòu)。

芯片制造過(guò)程中包括眾多原材料和設(shè)備,其中最重要的材料當(dāng)屬高純度大硅片,最重要的設(shè)備則是高精度光刻機(jī)。

生產(chǎn)高純度大硅片技術(shù)難度很大,需要從沙子中冶煉出純度高達(dá) 99.99999999999% 的硅片,冶煉過(guò)程對(duì)潔凈度要求極高,任何一個(gè)原子級(jí)雜質(zhì)污染都會(huì)導(dǎo)致電學(xué)性能的變化,通俗描述,就是在北京城這么大的空間中不能有芝麻大的顆粒雜質(zhì)。

大硅片也是高度壟斷競(jìng)爭(zhēng)的行業(yè),全球前五大廠商市場(chǎng)占有率達(dá)到 93%,包括日本信越半導(dǎo)體(份額 28%)、日本勝高科技(24%)、中國(guó)臺(tái)灣環(huán)球晶圓(16%)、德國(guó) Silitronic(14%)、韓國(guó) LG(10%)。目前中國(guó) 12 英寸大硅片的國(guó)產(chǎn)化率低,半導(dǎo)體大硅片的供應(yīng)缺口較大。為了滿(mǎn)足持續(xù)增長(zhǎng)的半導(dǎo)體大硅片需求,國(guó)內(nèi)各廠商積極布局大硅片生產(chǎn)。

在這方面,中國(guó)與世界先進(jìn)水平差距較大,但有成品替代性。其它輔助材料主要包括光刻膠、掩膜版、靶材、封裝基板等,這些材料國(guó)內(nèi)仍是瓶頸,不過(guò)很多材料也在陸續(xù)突破中。

半導(dǎo)體制造設(shè)備方面,主要有清洗、外延、氧化、鍍膜、光刻、濺射、離子注入、刻蝕等設(shè)備。其中光刻機(jī)是芯片制造中最核心的機(jī)器,整個(gè)光刻過(guò)程也是芯片生產(chǎn)過(guò)程中耗時(shí)最長(zhǎng)、成本最高、最關(guān)鍵的一步。

光刻機(jī)被譽(yù)為半導(dǎo)體產(chǎn)業(yè) “皇冠上的明珠”,制造難度極大,它不僅是復(fù)雜的系統(tǒng)工程,也是工程師智慧和經(jīng)驗(yàn)的結(jié)晶。目前最頂尖的 ASML 的 7nm 精度 EUV 光刻機(jī)整體有 13 個(gè)子系統(tǒng),數(shù)十萬(wàn)個(gè)零部件組成,核心部件如德國(guó)蔡司的鏡頭,美國(guó)的光源系統(tǒng),瑞典和日本的軸承,幾乎都是全球最頂尖的技術(shù)產(chǎn)品,組裝后重量將近 200 噸。生產(chǎn)這種光刻機(jī)的難度在于如何將如此多的零部件按照需求校準(zhǔn)到 2nm 以下的精度,EUV 光學(xué)透鏡、反射鏡系統(tǒng)的精度以皮米計(jì)(萬(wàn)億分之一米)。ASML 的總裁曾介紹,如果把反射鏡面積同比擴(kuò)大到德國(guó)國(guó)土那么大,最高的凸起也不能超過(guò) 1 公分。

光刻機(jī)供應(yīng)商主要有三家公司,分別是荷蘭的 ASML、日本的尼康和佳能,但能生產(chǎn) 7 納米精度的光刻機(jī)只有 ASML。日本的尼康和佳能過(guò)度拘泥所有零件的內(nèi)制化,唯一外購(gòu)的光源在與自己的鏡頭匹配時(shí),也優(yōu)先考慮突出鏡頭的性能,與外界協(xié)作沒(méi)有積累新的設(shè)計(jì)思路。這就導(dǎo)致了他們無(wú)法建立起設(shè)計(jì)通用性光刻機(jī)的平臺(tái),2002 年后被 ASML 反超,此后佳能和尼康的光刻機(jī)大都賣(mài)給了精度要求不高的國(guó)內(nèi)半導(dǎo)體公司,ASML 也拿下了光刻機(jī) 75% 以上的市場(chǎng)份額,高端光刻機(jī)則一家獨(dú)占。

半導(dǎo)體是一個(gè)最講究國(guó)際產(chǎn)業(yè)上下游協(xié)作的行業(yè),沒(méi)有國(guó)際協(xié)作,就算美國(guó)也很難生產(chǎn)出最先進(jìn)的芯片。但是因?yàn)?2011 年的瓦森納協(xié)定將中國(guó)列為禁運(yùn)國(guó),當(dāng)時(shí)的全球半導(dǎo)體前 15 大設(shè)備供應(yīng)商均不能對(duì)中國(guó)出售先進(jìn)設(shè)備,導(dǎo)致半導(dǎo)體工業(yè)的全面落后,進(jìn)而衍生出后面無(wú)奈的自力更生境況。

如今,中國(guó)的半導(dǎo)體設(shè)備產(chǎn)業(yè)也取得快速發(fā)展,上海微電子、北方華創(chuàng)、中微半導(dǎo)體、盛美、中國(guó)電科、中科院等在相應(yīng)的領(lǐng)域都有相應(yīng)的產(chǎn)品推出,部分設(shè)備已經(jīng)進(jìn)入全球先進(jìn)水平。

半導(dǎo)體制造業(yè)是人力技術(shù)資金密集型行業(yè),隨著晶圓尺寸的增加,投資一條生產(chǎn)線(xiàn)已經(jīng)增加到上百億美金,一般的公司已經(jīng)很難再進(jìn)入并對(duì)龍頭企業(yè)造成威脅。從產(chǎn)量看,臺(tái)積電處于絕對(duì)領(lǐng)先優(yōu)勢(shì),市占率超過(guò) 50%;中芯國(guó)際市占率不足 5%。

從工藝水平看,臺(tái)積電在 2014 年就量產(chǎn)了 16nm,2017 年量產(chǎn)了 7nm,目前 5nm 接近量產(chǎn);英特爾、三星在 2014 年就量產(chǎn)了 14nm;由于技術(shù)限制和專(zhuān)利因素,中芯國(guó)際 2019 年量產(chǎn) 14nm 工藝,落后臺(tái)積電、三星、格羅方德五年。

真正意義上的現(xiàn)代中國(guó)半導(dǎo)體制造業(yè)始于上世紀(jì) 90 年代的 908 工程,彼時(shí)中國(guó)第一次對(duì)微電子產(chǎn)業(yè)制定國(guó)家規(guī)劃,并成立無(wú)錫華晶,直到 7 年后,華晶 6 英寸線(xiàn)才投產(chǎn),但已遠(yuǎn)遠(yuǎn)落后于世界先進(jìn)水平。1995 年,江澤民參觀了韓國(guó)三星集成電路生產(chǎn)線(xiàn)后,他用了 “觸目驚心” 四個(gè)字來(lái)形容差距,要求不惜代價(jià)也要將半導(dǎo)體產(chǎn)業(yè)搞上去。

經(jīng)過(guò) 20 年的努力和追趕,中國(guó)半導(dǎo)體產(chǎn)能(包括外資在中國(guó)投入的產(chǎn)能)已經(jīng)位居全球第一,本土企業(yè)也發(fā)展迅速,這也是國(guó)外擔(dān)心的地方,因?yàn)橹袊?guó)企業(yè)的模仿和追趕能力太強(qiáng)了,再加上政府支持,大有趕超之勢(shì)。中國(guó)芯片制造業(yè)雖然仍落后于臺(tái)積電和三星,但隨著中芯國(guó)際 14nm 工藝的量產(chǎn),加上其它本土晶圓廠,目前已經(jīng)可以生產(chǎn)滿(mǎn)足大部分行業(yè)中低端應(yīng)用的產(chǎn)品。事實(shí)上,只有手機(jī)和電腦 CPU、存儲(chǔ)器芯片才需要最先進(jìn)的線(xiàn)寬工藝,其它應(yīng)用領(lǐng)域的芯片則無(wú)需過(guò)度強(qiáng)調(diào)線(xiàn)寬的先進(jìn)性。例如,模擬電路很多還停留在亞微米級(jí)別,就是因?yàn)閼?yīng)用過(guò)程中的需求與數(shù)字電路不同。

2019 年中芯國(guó)際已經(jīng)突破 14nm 工藝量產(chǎn),成為中國(guó)最先進(jìn)的晶圓廠,這引起了美國(guó)的擔(dān)憂(yōu),也導(dǎo)致繼華為后成為被制裁的對(duì)象。

2019 年的數(shù)據(jù)顯示,中國(guó)大陸地區(qū)的芯片制造也已經(jīng)成為全球第一,對(duì)應(yīng)著中國(guó)是全球半導(dǎo)體設(shè)備和材料采購(gòu)量最大的地區(qū)。對(duì)中國(guó)的 “卡脖子” 舉動(dòng)會(huì)導(dǎo)致歐美設(shè)備供應(yīng)商付出 “餓肚子” 的代價(jià),當(dāng)然站在西方國(guó)家科技戰(zhàn)略的角度來(lái)看,餓肚子的代價(jià)顯然小于卡脖子。

芯片生產(chǎn)的最后一環(huán)是封裝測(cè)試。半導(dǎo)體封測(cè)領(lǐng)域技術(shù)含量相對(duì)較低,因?yàn)橹袊?guó)貼近下游整機(jī)市場(chǎng),承接了大量產(chǎn)能轉(zhuǎn)移,不僅在規(guī)模上,在技術(shù)上也不輸于先進(jìn)水平。這方面國(guó)內(nèi)形勢(shì)是高中低端全面發(fā)展,處于全球先進(jìn)行列。

芯片產(chǎn)業(yè)是全球化最徹底的產(chǎn)業(yè),也是全球最高級(jí)別的創(chuàng)新協(xié)作體系。目前,世界上沒(méi)有一個(gè)國(guó)家可以獨(dú)立完成最先進(jìn)的芯片。即便是美國(guó),生產(chǎn) 7 納米及以下工藝的芯片,也需要購(gòu)買(mǎi)荷蘭 ASML 最先進(jìn)的光刻機(jī),也需要去臺(tái)積電做代工。正因如此,對(duì)于整上還處在落后位置的中國(guó)來(lái)說(shuō),更要警惕閉門(mén)造車(chē)的狹隘想法,而必須要融入國(guó)際體系。

-End-

原文標(biāo)題:解構(gòu)芯片:中國(guó)在產(chǎn)業(yè)鏈各環(huán)節(jié)與國(guó)際距離究竟有多大?

文章出處:【微信公眾號(hào):DeepTech深科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50026

    瀏覽量

    419812
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26669

    瀏覽量

    212965
  • 華為
    +關(guān)注

    關(guān)注

    215

    文章

    34203

    瀏覽量

    250690
  • eda
    eda
    +關(guān)注

    關(guān)注

    71

    文章

    2673

    瀏覽量

    172601

原文標(biāo)題:解構(gòu)芯片:中國(guó)在產(chǎn)業(yè)鏈各環(huán)節(jié)與國(guó)際距離究竟有多大?

文章出處:【微信號(hào):deeptechchina,微信公眾號(hào):deeptechchina】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    芯??萍糆C芯片閃耀“中國(guó)芯

    第十八屆“中國(guó)芯”頒獎(jiǎng)儀式上,芯海科技(股票代碼:688595)憑借其卓越的嵌入式控制芯片技術(shù)再次脫穎而出。公司的筆記本嵌入式控制芯片CSC2E101從全國(guó)數(shù)百家優(yōu)秀企業(yè)的參賽作品中嶄露頭角,榮獲了
    的頭像 發(fā)表于 08-30 17:31 ?315次閱讀
    芯??萍糆C<b class='flag-5'>芯片</b>閃耀“<b class='flag-5'>中國(guó)芯</b>”

    持續(xù)發(fā)力布局成熟制程,中國(guó)芯片產(chǎn)能未來(lái)三年或提升達(dá)60%

    增長(zhǎng)13.1%。 據(jù)國(guó)聯(lián)證券研報(bào),中國(guó)半導(dǎo)體市場(chǎng)約占全球半導(dǎo)體市場(chǎng)的三成。中國(guó)企業(yè)大規(guī)模投入傳統(tǒng)芯片制造,正處于全球芯片產(chǎn)業(yè)即將迎來(lái)復(fù)蘇的時(shí)
    的頭像 發(fā)表于 06-18 10:00 ?488次閱讀

    FMS柔性制造系統(tǒng)的結(jié)構(gòu)環(huán)節(jié)的功能

    工。FMS的組成結(jié)構(gòu)包括多個(gè)環(huán)節(jié),每個(gè)環(huán)節(jié)都有其特定的功能,共同構(gòu)成了一個(gè)完整的制造系統(tǒng)。 系統(tǒng)規(guī)劃與設(shè)計(jì) FMS的系統(tǒng)規(guī)劃與設(shè)計(jì)是整個(gè)系統(tǒng)的基礎(chǔ),它涉及到系統(tǒng)的總體結(jié)構(gòu)、設(shè)備布局、工藝流程、控制策略等方面的設(shè)計(jì)。在這個(gè)階段,需
    的頭像 發(fā)表于 06-11 09:19 ?767次閱讀

    自動(dòng)控制系統(tǒng)主要由哪些環(huán)節(jié)組成?環(huán)節(jié)起什么作用?

    自動(dòng)控制系統(tǒng)是一種能夠自動(dòng)調(diào)節(jié)和控制生產(chǎn)過(guò)程或設(shè)備狀態(tài)的系統(tǒng)。它廣泛應(yīng)用于工業(yè)、農(nóng)業(yè)、航空、航天、交通等領(lǐng)域。自動(dòng)控制系統(tǒng)主要由以下幾個(gè)環(huán)節(jié)組成:檢測(cè)環(huán)節(jié)、控制器、執(zhí)行器、被控對(duì)象和反饋環(huán)節(jié)。下面
    的頭像 發(fā)表于 06-06 15:55 ?3364次閱讀

    國(guó)產(chǎn)的RISC-V 芯片與國(guó)外的比如何?

    非常想知道國(guó)產(chǎn)的芯片在性能和質(zhì)量上與國(guó)外的同款相比如何?
    發(fā)表于 05-26 20:14

    勝訴!中國(guó)芯片企業(yè)在美獲無(wú)罪判決

    據(jù)彭博新聞社網(wǎng)站2月28日?qǐng)?bào)道,中國(guó)芯片制造商福建晉華在美國(guó)擺脫了所謂“經(jīng)濟(jì)間諜”和其他刑事指控。報(bào)道稱(chēng),美國(guó)司法部打擊中國(guó)所謂“竊取知識(shí)產(chǎn)權(quán)”的行動(dòng)受挫。 歷時(shí)5年,遭美國(guó)司法部起訴涉嫌“竊取美企
    的頭像 發(fā)表于 03-06 16:39 ?441次閱讀
    勝訴!<b class='flag-5'>中國(guó)芯片</b>企業(yè)在美獲無(wú)罪判決

    英特爾CEO:美日荷聯(lián)合限制下,中國(guó)芯片制造技術(shù)將落后10年!

    在談到中國(guó)在半導(dǎo)體制造領(lǐng)域的追趕時(shí),帕特·基辛格表示,中國(guó)的半導(dǎo)體制造業(yè)與世界頂級(jí)晶圓廠的差距約為10年,而美日荷對(duì)于半導(dǎo)體設(shè)備的限制更是阻
    的頭像 發(fā)表于 01-30 16:39 ?922次閱讀

    制造業(yè)難題:如何解決中國(guó)芯片產(chǎn)業(yè)的瓶頸

    中國(guó)芯片產(chǎn)業(yè)需要加速研發(fā)和創(chuàng)新,以提高其自主設(shè)計(jì)和生產(chǎn)的芯片的質(zhì)量和性能。中國(guó)芯片公司需要向更高級(jí)的領(lǐng)域發(fā)展,例如人工智能、物聯(lián)網(wǎng)和大數(shù)據(jù)等高端市場(chǎng)。
    的頭像 發(fā)表于 01-30 16:34 ?2352次閱讀

    中國(guó)芯片企業(yè)芯片完全解析

    AI 算力、低功耗等對(duì)服務(wù)器算力芯片提出新的要求,英偉達(dá) GH200 有望加速全球 AI 服務(wù)器算力芯片市場(chǎng)變革,中國(guó)芯片企業(yè)在面臨挑戰(zhàn)的同時(shí),也有望迎來(lái)發(fā)展機(jī)遇。
    的頭像 發(fā)表于 01-22 15:07 ?1870次閱讀
    <b class='flag-5'>中國(guó)芯片</b>企業(yè)<b class='flag-5'>芯片</b>完全解析

    中國(guó)芯片產(chǎn)能飆升,巴克萊預(yù)測(cè)將翻倍

    最新的研究顯示,中國(guó)芯片制造領(lǐng)域的雄心勃勃將在未來(lái)五年內(nèi)迎來(lái)巨大的飛躍,巴克萊(Barclays)的分析師報(bào)告指出,根據(jù)目前當(dāng)?shù)?b class='flag-5'>制造商的計(jì)劃,中國(guó)
    的頭像 發(fā)表于 01-12 13:41 ?383次閱讀

    中國(guó)芯片制造實(shí)力將在5-7年內(nèi)大幅增強(qiáng)

    為了提升產(chǎn)能和滿(mǎn)足市場(chǎng)需求,中國(guó)企業(yè)急速購(gòu)入關(guān)鍵芯片制造設(shè)備。如荷蘭ASML和日本東芝電子這樣的領(lǐng)先廠商,在2023年接獲了大量來(lái)自中國(guó)的訂單。而大部分新增產(chǎn)能將應(yīng)用于傳統(tǒng)半導(dǎo)體
    的頭像 發(fā)表于 01-12 09:25 ?522次閱讀

    Mobileye披露與國(guó)際汽車(chē)制造商巨頭的最新合作

    Mobileye在昨日宣布,已與一家西方主要的汽車(chē)制造商達(dá)成了一項(xiàng)意義深遠(yuǎn)的量產(chǎn)項(xiàng)目合作。這一合作不僅將影響多個(gè)國(guó)際品牌的未來(lái),更預(yù)示著自動(dòng)駕駛技術(shù)進(jìn)入了一個(gè)新的歷史階段。
    的頭像 發(fā)表于 01-09 14:54 ?628次閱讀

    一塊PCB板從電路設(shè)計(jì)到制造生產(chǎn),這一點(diǎn)不容忽略!

    分析和優(yōu)化,一鍵導(dǎo)出BOM、Gerber、PDF裝配圖、坐標(biāo)文件等功能。 然而,與國(guó)際知名DFM軟件相比,國(guó)產(chǎn)DFM軟件在品牌影響力和市場(chǎng)份額方面還存在一定差距。 同時(shí),由于DFM軟件涉及到制造工藝
    發(fā)表于 12-26 16:00

    芯片的幾個(gè)重要測(cè)試環(huán)節(jié)-CP、FT、WAT

    半導(dǎo)體生產(chǎn)流程由晶圓制造,晶圓測(cè)試,芯片封裝和封裝后測(cè)試組成。而測(cè)試環(huán)節(jié)主要集中在CP(chip probing)、FT(Final Test)和WAT(Wafer Acceptance Test)三個(gè)
    的頭像 發(fā)表于 12-01 09:39 ?5797次閱讀
    <b class='flag-5'>芯片</b>的幾個(gè)重要測(cè)試<b class='flag-5'>環(huán)節(jié)</b>-CP、FT、WAT

    2023硬核中國(guó)芯發(fā)布,國(guó)科微獲“最有影響力IC設(shè)計(jì)企業(yè)”

    10月30日,第五屆硬核芯生態(tài)大會(huì)暨2023汽車(chē)芯片技術(shù)創(chuàng)新與應(yīng)用論壇在深圳召開(kāi),同期2023年度硬核中國(guó)芯獲獎(jiǎng)榜單正式發(fā)布。作為國(guó)內(nèi)領(lǐng)先的集成電路設(shè)計(jì)企業(yè),國(guó)科微斬獲“2023年度最有影響力IC
    的頭像 發(fā)表于 11-01 18:00 ?491次閱讀
    2023硬核<b class='flag-5'>中國(guó)芯</b>發(fā)布,國(guó)科微獲“最有影響力IC設(shè)計(jì)企業(yè)”