0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

理解清楚這5條準(zhǔn)則,用哪款FPGA都不會(huì)太難

lPCU_elecfans ? 來源:電子發(fā)燒友網(wǎng) ? 作者:電子發(fā)燒友網(wǎng) ? 2020-10-31 09:38 ? 次閱讀

中國集成半導(dǎo)體人才存量46.1萬人,人才缺口32萬人,平均每年人才需求為10萬人,但想入門半導(dǎo)體行業(yè),學(xué)好HDL語言卻并不太容易。

做好FPGA,入門半導(dǎo)體行業(yè),需要從硬件的角度思考開發(fā)的過程,理解IDE工具工作背后的深層次含義,學(xué)習(xí)HDL不要一上手就寫代碼,切記盲目的使用浮點(diǎn),隨意的進(jìn)行除法和開方等運(yùn)算,這和FPGA本身的特性及硬件結(jié)構(gòu)相關(guān),時(shí)序分析也并不難,但一定要理解時(shí)序分析的本質(zhì)原因,理解清楚這5條準(zhǔn)則,其實(shí)用哪款FPGA都不會(huì)太難。

1、學(xué)習(xí)FPGA切記一開始就寫代碼,一寫就是好多年!

FPGA作為典型的硬件開發(fā)過程,其難點(diǎn)在于FPGA硬件的深刻理解,優(yōu)秀的FPGA工程師是基于數(shù)學(xué)模型+FPGA底層硬件的理解去優(yōu)化代碼,而不是根據(jù)算法,在不清楚FPGA硬件情況下直接用HDL進(jìn)行描述,這里我們通過兩款FPGA器件的DSP架構(gòu),和大家分享一下在數(shù)字信號(hào)處理過程中FPGA代碼的優(yōu)化過程。

Gowin DSP資源

Xilinx DEP48E資源

2、需要深刻理解IDE工具的背后邏輯!

Xilinx第四代ASMBL FPGA底層架構(gòu)

FPGA開發(fā)者通常的開發(fā)過程是寫好代碼,然后修改語法錯(cuò)誤,按照工具的先后流程點(diǎn)擊相關(guān)選項(xiàng),下載代碼,可是你是否思考過,所謂的綜合,布局布線,物理約束,時(shí)序約束等背后深層次含義以及IDE相關(guān)參數(shù)設(shè)置對(duì)我們?cè)O(shè)計(jì)的影響。

3、不要盲目的浮點(diǎn),開方,除法,指數(shù)運(yùn)算!

在數(shù)字信號(hào)處理過程,我們通常建議用戶先將浮點(diǎn)數(shù)據(jù)進(jìn)行定點(diǎn)量化,碰到開方運(yùn)算,我們通常建議是否可以簡化成絕對(duì)值和平方再進(jìn)行處理,盡量避免除法運(yùn)算,那背后的邏輯又是什么呢?

Xilinx高效低成本Spartan-7 FPGA器件特征

4、時(shí)序約束和時(shí)序分析沒有想象中的那么難!

周期約束,輸入輸出延遲約束,生成時(shí)鐘約束,時(shí)鐘應(yīng)該走全局時(shí)鐘網(wǎng)絡(luò),要進(jìn)行多周期約束,設(shè)置偽路徑這些時(shí)序約束中常用的技巧,是否都清楚背后的邏輯,這個(gè)部分想和大家一起探討時(shí)序約束底層的邏輯。

時(shí)序分析必需的三條路徑:源時(shí)鐘、數(shù)據(jù)、目的時(shí)鐘路徑

5、如果你理解了底層,用任何一家FPGA原廠都差不多!

有一個(gè)有趣的統(tǒng)計(jì):FPGA大部分的問題是由跨時(shí)鐘域?qū)е拢绻阏嬲斫釬PGA,進(jìn)行FPGA代碼的移植都不會(huì)太麻煩,而最終選擇哪家的FPGA其實(shí)也就不太重要,因?yàn)槿f變不離其宗。

責(zé)任編輯:xj

原文標(biāo)題:FPGA認(rèn)清這五大原則,想學(xué)不好都難!

文章出處:【微信公眾號(hào):電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600574
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26658

    瀏覽量

    212871
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    325

    瀏覽量

    47286

原文標(biāo)題:FPGA認(rèn)清這五大原則,想學(xué)不好都難!

文章出處:【微信號(hào):elecfans,微信公眾號(hào):電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    TPA3251D2接4歐負(fù)載,功率去到3/2左右就開始打隔,A和D通道去到削波都不會(huì)出現(xiàn)保護(hù),為什么?

    TPA3251D2接4歐負(fù)載,功率去到3/2左 右就開始打隔,36V-DC穩(wěn)壓電源測試的,四個(gè)通道只有B和C通道出現(xiàn)打隔,A和D通道去到削波都不會(huì)出現(xiàn)保護(hù),這是什么問題呢?請(qǐng)教TI大師
    發(fā)表于 10-23 06:44

    采用的無源麥克輸出信號(hào)為2-5mV,請(qǐng)問采用芯作為前置放大器比較合適?

    您好:我們采用的無源麥克輸出信號(hào)為2-5mV,請(qǐng)問采用TI芯作為前置放大器比較合適,原來選用ADI的一芯片,感覺不說話時(shí)有燥聲.
    發(fā)表于 09-26 06:09

    要在AD采樣前加一個(gè)低通濾波模塊,濾除1K以上的高頻成分,運(yùn)放比較合適?

    小弟要在AD采樣前加一個(gè)低通濾波模塊,濾除1K以上的高頻成分,不知道運(yùn)放比較合適?
    發(fā)表于 08-28 08:14

    淺談EMC設(shè)計(jì)準(zhǔn)則

    在日益數(shù)字化的時(shí)代,電子設(shè)備的設(shè)計(jì)已成為現(xiàn)代生活中不可或缺的一部分。但隨之而來的是電磁兼容性(EMC)問題的日益凸顯。EMC設(shè)計(jì)準(zhǔn)則的制定和遵循對(duì)于確保設(shè)備在各種環(huán)境中穩(wěn)定運(yùn)行并且不會(huì)干擾其他設(shè)備
    的頭像 發(fā)表于 06-18 14:59 ?2157次閱讀
    淺談EMC設(shè)計(jì)<b class='flag-5'>準(zhǔn)則</b>

    國產(chǎn)DSP有哪些型號(hào)

    女朋友剛?cè)肟覦SP,畢業(yè)去一家軍工企業(yè),聽說是搞dsp,而且使用的是國產(chǎn)的,但是不知道使用,想在入職前學(xué)一下,避免到時(shí)候啥都不會(huì),被嫌棄。
    發(fā)表于 04-22 13:41

    LabVIEW控制單列表滾動(dòng)

    最近寫程序用到一個(gè)文件顯示的列表,每次文件更新列表都不會(huì)顯示到最新的一個(gè)。來論壇看了一下,有些朋友已經(jīng)說出了解決的方法,但是沒有一個(gè)直觀的程序,這里我po一個(gè)自己的程序。關(guān)鍵的思路時(shí)使用列表的toprow屬性節(jié)點(diǎn)
    發(fā)表于 04-07 16:48

    深入理解 FPGA 的基礎(chǔ)結(jié)構(gòu)

    轉(zhuǎn)載地址:https://zhuanlan.zhihu.com/p/506828648 文章很詳細(xì)的介紹了FPGA的基礎(chǔ)結(jié)構(gòu),能更直觀的理解內(nèi)部結(jié)構(gòu)原理。對(duì)深入學(xué)習(xí)很有幫助。 以下是正文: 這一段
    發(fā)表于 04-03 17:39

    市面上FPGA入門塊板子好啊?

    市面上FPGA入門塊板子好啊?的什么開發(fā)軟件和編程語言的??
    發(fā)表于 03-30 11:24

    測測10個(gè)AI關(guān)鍵詞你清楚幾個(gè)?第4個(gè)今年最火

    原文標(biāo)題:測測10個(gè)AI關(guān)鍵詞你清楚幾個(gè)?第4個(gè)今年最火 文章出處:【微信公眾號(hào):微軟科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。
    的頭像 發(fā)表于 12-21 08:15 ?491次閱讀
    測測<b class='flag-5'>這</b>10個(gè)AI關(guān)鍵詞你<b class='flag-5'>清楚</b>幾個(gè)?第4個(gè)今年最火

    FPGA的電源管理解決方案

    電子發(fā)燒友網(wǎng)站提供《FPGA的電源管理解決方案.pdf》資料免費(fèi)下載
    發(fā)表于 11-24 14:42 ?0次下載
    <b class='flag-5'>FPGA</b>的電源管<b class='flag-5'>理解</b>決方案

    PKD01芯片能替代它?

    PKD01是一很好用的峰值保持電路,因停產(chǎn)很難買到貨,請(qǐng)問芯片能替代它?
    發(fā)表于 11-24 08:27

    差分小信號(hào)放大有放大器合適?

    公司一個(gè)項(xiàng)目,是采集電橋輸出的差分信號(hào),但信號(hào)非常小,都是微伏級(jí)的,頻率很低,大約10Hz左右,請(qǐng)高人給個(gè)指點(diǎn),運(yùn)放合適,還只能是單電源供電,謝謝!
    發(fā)表于 11-24 06:52

    放大DA的差分信號(hào)放大器比較合適?

    將DA輸出差分信號(hào)經(jīng)過放大器放大2.5倍,DA輸出中心頻率為46.52MHz,帶寬20MHz,中心頻率70MHz,帶寬30MHz,中心頻率120MHz,帶寬50MHz,采用放大器比較合適,AD8099能否滿足條件
    發(fā)表于 11-17 06:45

    89C52單片機(jī)在INT0上接TRCT5000來計(jì)數(shù),不管怎么弄數(shù)值都不會(huì)超過248是為什么?

    小弟89C52單片機(jī)在INT0上接了個(gè)TRCT5000來計(jì)數(shù),測量電機(jī)轉(zhuǎn)速,但不管怎么弄數(shù)值都不會(huì)超過248,有沒有大俠遇到過這樣的情況
    發(fā)表于 11-06 07:15

    FPGA是啥?太難了~

    1、FPGA是啥? 聽說FPGA多年,每次都會(huì)問,什么是FPGA,我,我,我,不知道如何說起,難以回答。 集網(wǎng)絡(luò)之所成: FPGA是一種器件。 其英文名 feild programab
    發(fā)表于 11-03 10:29