0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

最大的機(jī)會(huì):物聯(lián)網(wǎng)與AI正在重塑半導(dǎo)體業(yè)

花茶晶晶 ? 來(lái)源:電子發(fā)燒友網(wǎng) ? 作者:黃晶晶 ? 2020-12-28 06:57 ? 次閱讀

電子發(fā)燒友網(wǎng)報(bào)道(文/黃晶晶)物聯(lián)網(wǎng)的碎片化和無(wú)處不在的AI幾乎是締造創(chuàng)新的兩個(gè)最大的機(jī)會(huì)。在芯片領(lǐng)域,它襲卷了半導(dǎo)體上下游,當(dāng)然,物聯(lián)網(wǎng)和AI新格局的塑造,還是要從半導(dǎo)體上游開(kāi)始。

在2020年到2021年,甚至更長(zhǎng)時(shí)間里,上游廠商正在做哪些改變,在2020 ICCAD年會(huì)期間,筆者采訪了多位半導(dǎo)體行業(yè)的高管。他們?nèi)绾吻罢靶缘乜创@兩股創(chuàng)新潮流?對(duì)于芯片設(shè)計(jì)和應(yīng)用創(chuàng)新而言,上游如何布局物聯(lián)網(wǎng)和AI發(fā)展將至關(guān)重要。

EDA與超級(jí)系統(tǒng)的融合,與AI的嫁接

EDA軟件是芯片設(shè)計(jì)最上游最高端的產(chǎn)業(yè),也最能看到芯片設(shè)計(jì)的走向。說(shuō)到疫情對(duì)半導(dǎo)體行業(yè)的影響,Mentor, a Siemens Business全球副總裁兼中國(guó)區(qū)總經(jīng)理凌琳認(rèn)為,即便現(xiàn)在疫情持續(xù),中國(guó)芯片設(shè)計(jì)的客戶也在快速增長(zhǎng)。這其中包括從大廠出來(lái)的創(chuàng)業(yè)團(tuán)隊(duì),也有初創(chuàng)公司,它們的設(shè)計(jì)需求增多。這說(shuō)明創(chuàng)新正在蓬勃發(fā)展。

中國(guó)IC設(shè)計(jì)企業(yè)的數(shù)量不斷攀升,它們的創(chuàng)新是真創(chuàng)新還是同質(zhì)化的競(jìng)爭(zhēng),這是目前凌琳看到的比較突出的問(wèn)題。他認(rèn)為,若只是設(shè)計(jì)一款與友商類似的產(chǎn)品打價(jià)格戰(zhàn),那么導(dǎo)致的結(jié)果就是供應(yīng)鏈更加混亂,芯片也賣不出好價(jià)錢。Mentor更鼓勵(lì)真正意義的創(chuàng)新,西門子強(qiáng)大的系統(tǒng)支持,不斷擴(kuò)充的工具,能夠配合芯片客戶的創(chuàng)新設(shè)計(jì)。

現(xiàn)在,Mentor EDA即將正式更名為西門子EDA,未來(lái)將融入到西門子智能制造和工業(yè)軟件的體系中發(fā)揮更大的能量。

Mentor全球副總裁兼中國(guó)區(qū)總經(jīng)理凌琳


今年6月,西門子宣布收購(gòu)英國(guó)IP公司UltraSoC。UltraSoC能夠?yàn)橄到y(tǒng)級(jí)芯片(SoC)提供內(nèi)部分析及監(jiān)測(cè)技術(shù),它的嵌入式分析技術(shù)可支持產(chǎn)品設(shè)計(jì)人員去增加先進(jìn)的網(wǎng)絡(luò)安全、功能安全性以及性能微調(diào)等特性。一個(gè)例子是,曾經(jīng)谷歌服務(wù)器中25%的硬盤速度下降了25%,但谷歌20個(gè)月后才發(fā)現(xiàn)此問(wèn)題,又花了2年才找到問(wèn)題所在,如果用UltraSoC的IP,二三天就可搞定。

為什么西門子先后收購(gòu)Mentor以及UltraSoC?凌琳表示,早期外界看不明白西門子的布局。實(shí)際上西門子希望構(gòu)建一個(gè)完整的閉環(huán)的平臺(tái)Xcelerator。Mentor專注于IC和系統(tǒng)設(shè)計(jì)的軟件,對(duì)客戶而言他的芯片能在系統(tǒng)中表現(xiàn)出效能,而這個(gè)子系統(tǒng)又是西門子超級(jí)系統(tǒng)的一部分,這個(gè)超級(jí)系統(tǒng)還包括機(jī)械設(shè)計(jì)、系統(tǒng)熱力等數(shù)據(jù)分析。

這個(gè)超級(jí)系統(tǒng)也佐證了,西門子所推崇的數(shù)字化雙胞胎的最終理念是將設(shè)計(jì)的模型到物理的模型完全影射,對(duì)復(fù)雜的數(shù)據(jù)進(jìn)行有效的管理。那么在這個(gè)平臺(tái)上,客戶只需專注業(yè)務(wù)本質(zhì)專注產(chǎn)品即可。未來(lái),這個(gè)平臺(tái)還將拓展到晶圓的尖端制造等領(lǐng)域。

EDA融入到超級(jí)系統(tǒng)中,是西門子在工業(yè)軟件領(lǐng)域的戰(zhàn)略選擇,它代表未來(lái)全流程制造的先進(jìn)數(shù)字化管理。

對(duì)于EDA的另一個(gè)趨勢(shì)即是AI,現(xiàn)在,國(guó)際巨頭也好,國(guó)產(chǎn)廠商也罷,都不約而同的站在AI這個(gè)起跑線上。

在講EDA與AI之前 ,我們先關(guān)注今年國(guó)產(chǎn)EDA的融資。9月2日,國(guó)微思爾芯宣布完成新一輪數(shù)億元融資。10月、11月、12月連續(xù)三個(gè)月,芯華章完成三輪各過(guò)億元融資。半導(dǎo)體“卡脖子”的技術(shù)EDA已經(jīng)得到全行業(yè)的重視。

顯然,國(guó)產(chǎn)EDA若是再走三大EDA巨頭的研發(fā)路徑是行不通的,也不符合新設(shè)計(jì)的需求。那么AI就成為他們研發(fā)方向的重要選擇。

芯華章科技董事長(zhǎng)兼CEO王禮賓


芯華章科技董事長(zhǎng)兼CEO王禮賓將EDA的發(fā)展分為EDA1.0和EDA2.0階段。他認(rèn)為,過(guò)去三十年的EDA行業(yè)屬于EDA1.0時(shí)代,已經(jīng)形成固有的架構(gòu)和龐大的客戶群。我們正在開(kāi)創(chuàng)的是EDA2.0時(shí)代,這個(gè)時(shí)期,人工智能云計(jì)算興起,新興EDA公司有機(jī)會(huì)從基礎(chǔ)架構(gòu)開(kāi)始嵌入AI,并為客戶提供云服務(wù)等便利。簡(jiǎn)單來(lái)說(shuō),EDA1.0實(shí)現(xiàn)了EDA的自動(dòng)化。EDA2.0要實(shí)現(xiàn)EDA的智能化。

要實(shí)現(xiàn)智能化,王禮賓認(rèn)為最重要的一點(diǎn)是融合。芯華章招攬了一批相關(guān)行業(yè)的優(yōu)秀人才,因?yàn)殚_(kāi)發(fā)EDA軟件涉及到物理、數(shù)學(xué)、微電子、計(jì)算機(jī)、人工智能等各方面的專業(yè)人才。這些專才有些可能不懂EDA,但是他們擅長(zhǎng)將其專業(yè)領(lǐng)域的知識(shí)融入到EDA的設(shè)計(jì)當(dāng)中。

智能化所能達(dá)到的效果超出想象,舉個(gè)例子,現(xiàn)在的驗(yàn)證技術(shù)有軟件仿真、硬件仿真、原型驗(yàn)證等等。那么到底是用軟件仿真還是硬件仿真?傳統(tǒng)上依賴足夠的經(jīng)驗(yàn)進(jìn)行判斷。智能化后,不再需要人工進(jìn)行選擇,在設(shè)計(jì)軟件中直接直接進(jìn)行判斷。

芯華章還看到一個(gè)趨勢(shì),即開(kāi)源。王禮賓強(qiáng)調(diào),鑒于國(guó)內(nèi)EDA人才匱乏,EDA開(kāi)源平臺(tái)的建立有利于吸納更多人才加入、使用以及交流。芯華章的EDA開(kāi)源平臺(tái)已經(jīng)吸引超過(guò)1000多人注冊(cè)。前不久,芯華章將一款開(kāi)源仿真器的速度提升一倍,得到業(yè)界的強(qiáng)烈反響。

芯華章正在打造EDA2.0和開(kāi)源生態(tài),未來(lái)還將推出可能是中國(guó)最大的硬件驗(yàn)證云,即由一組硬件仿真器組成的硬件云,服務(wù)于客戶在驗(yàn)證階段的峰值需求。

EDA涉及前端、后端、驗(yàn)證,從仿真、綜合到版圖、工藝制造等等,目前國(guó)內(nèi)EDA企業(yè)尚未提供全流程產(chǎn)品,基本從點(diǎn)工具進(jìn)行突破。

國(guó)微思爾芯是業(yè)內(nèi)領(lǐng)先的快速原型驗(yàn)證及仿真系統(tǒng)解決方案提供商,目前有超過(guò)500家客戶以及-3000多套原型驗(yàn)證工具應(yīng)用于客戶的設(shè)計(jì)中,全部產(chǎn)品自主研發(fā),擁有多項(xiàng)專利和軟件著作權(quán)。S2C有一支高效的設(shè)計(jì)和支持團(tuán)隊(duì),已在美國(guó)、英國(guó)、以色列、韓國(guó)、日本以及中國(guó)臺(tái)灣設(shè)立辦事處或銷售代表處,提供客戶服務(wù)與支持。

上海國(guó)微思爾芯(S2C)首席執(zhí)行官兼總裁林俊雄

然而國(guó)產(chǎn)EDA全流程也在持續(xù)打造中。上海國(guó)微思爾芯首席執(zhí)行官兼總裁林俊雄表示,國(guó)微集團(tuán)在整個(gè)數(shù)字EDA全流程各個(gè)方面都進(jìn)行了很好的布局,不只包含國(guó)微思爾芯和鴻芯微納,還投資了一些公司以及校企合作,不斷完善其布署。同時(shí),各兄弟企業(yè)之間點(diǎn)面結(jié)合,合力發(fā)展。

鴻芯微納是國(guó)微集團(tuán)另一家專注數(shù)字芯片全流程設(shè)計(jì)的EDA企業(yè),其數(shù)字電路工具支持邏輯綜合、布局布錢,并支持7納米先進(jìn)工藝。鴻芯微納CTO王宇成表示,數(shù)字EDA全流程是國(guó)產(chǎn)EDA比較短板的環(huán)節(jié),鴻芯微納通過(guò)與高校合作培養(yǎng)人才、與客戶緊密合作優(yōu)化工具,高起點(diǎn)發(fā)展。

鴻芯微納CTO王宇成

早年國(guó)外EDA廠商通過(guò)不斷的并購(gòu)整合,逐漸發(fā)展壯大,同樣國(guó)產(chǎn)EDA可以借鑒這樣的路徑,王宇成認(rèn)為國(guó)產(chǎn)EDA可以對(duì)高校的研發(fā)成果或者其他在架構(gòu)上不起決定作用的點(diǎn)工具加以并購(gòu)整合,的確不需要自己完全開(kāi)發(fā)。這樣有利于國(guó)產(chǎn)EDA更快地形成完整的體系。

他同時(shí)強(qiáng)調(diào),EDA國(guó)產(chǎn)化并不是終點(diǎn),最終的目標(biāo)是融入全球供應(yīng)鏈,參與全球分工,這樣就需要研發(fā)的前瞻視角,需要和全球領(lǐng)先的技術(shù)PK。

“國(guó)產(chǎn)EDA的優(yōu)勢(shì)是貼近客戶,國(guó)內(nèi)很多客戶的設(shè)計(jì)水平已經(jīng)國(guó)際領(lǐng)先,他們的算法或特有的技術(shù),通過(guò)我們與客戶的共同開(kāi)發(fā),將其嵌入到我們的數(shù)據(jù)庫(kù)或數(shù)據(jù)模型共享。我們與客戶近距離溝通、定制化。這些促成了我們的工具快速迭代?!蓖跤畛烧f(shuō)道。

AI是擺在EDA公司的機(jī)會(huì),國(guó)微思爾芯,鴻芯微納也不例外,他們已經(jīng)積極開(kāi)展一些項(xiàng)目將AI融入到EDA的設(shè)計(jì)當(dāng)中,已經(jīng)取得了一些成果。

打造適合中國(guó)廣大IC設(shè)計(jì)企業(yè)的IP

安謀中國(guó)成立兩年間先后發(fā)布了周易、星辰、山海、玲瓏四大類IP,逐漸完善SoC的計(jì)算單元。安謀中國(guó)產(chǎn)品研發(fā)常務(wù)副總裁劉澍表示,目前合資公司的CPU、人工智能、物聯(lián)網(wǎng)安全、多媒體等一整套IP的設(shè)計(jì)研發(fā)都在中國(guó)完成,我們希望通過(guò)這種模式不斷支持Arm生態(tài)在中國(guó)的繁榮,支持本土半導(dǎo)體產(chǎn)業(yè)的發(fā)展和自我和獨(dú)立創(chuàng)新。

安謀中國(guó)產(chǎn)品研發(fā)常務(wù)副總裁劉澍


他認(rèn)為,很多時(shí)候,我們學(xué)習(xí)國(guó)外先進(jìn)的技術(shù),是學(xué)習(xí)他的管理和設(shè)計(jì)流程,并不是只學(xué)習(xí)設(shè)計(jì)。我們的設(shè)計(jì)要更多地滿足市場(chǎng),也就是客戶的需求。安謀中國(guó)自研IP的一個(gè)特點(diǎn)即是貼近客戶。

在形成完整的計(jì)算平臺(tái)單元之后,安謀中國(guó)下一步仍然看重市場(chǎng)前沿的需求。劉澍表示,未來(lái)一定是異構(gòu)計(jì)算的發(fā)展,這些計(jì)算平臺(tái)也將適用于更多不同的場(chǎng)景,Arm技術(shù)已經(jīng)從手機(jī)、消費(fèi)電子擴(kuò)展到服務(wù)器、汽車自動(dòng)駕駛等高性能計(jì)算的領(lǐng)域。這些應(yīng)用更需要貼近市場(chǎng)和客戶,更需要精細(xì)化、定制化。

差異化產(chǎn)品線是中國(guó)芯片IP提供商和IC設(shè)計(jì)企業(yè)的機(jī)會(huì)。銳成芯微Actt專注于超低功耗模擬IP和高可靠性eNVM研發(fā)和授權(quán)業(yè)務(wù),尤其是物聯(lián)網(wǎng)的需求爆發(fā)之時(shí),公司獲得了很好的發(fā)展機(jī)會(huì)。

成都銳成芯微科技股份有限公司CEO沈莉

成都銳成芯微科技股份有限公司CEO沈莉認(rèn)為,物聯(lián)網(wǎng)的碎片化適合中小企業(yè),他們靈活作戰(zhàn),找準(zhǔn)細(xì)分市場(chǎng)機(jī)會(huì),我們的IP正好可以配合到他們的需求。今年國(guó)內(nèi)IC設(shè)計(jì)企業(yè)數(shù)量又創(chuàng)新高(最新數(shù)據(jù)達(dá)到2218家),IP廠商向來(lái)對(duì)IC設(shè)計(jì)企業(yè)的動(dòng)態(tài)最敏感,的確,銳成芯微的客戶數(shù)量也有明顯增加,芯片項(xiàng)目也在增加,這也佐證了今年IC設(shè)計(jì)繁榮的趨勢(shì)。

她指出,很多新興IC設(shè)計(jì)公司都瞄準(zhǔn)物聯(lián)網(wǎng),比如蜂窩類通信NB-IOT經(jīng)過(guò)這兩三年已經(jīng)發(fā)展成熟,NB-IOT水電表的出貨在放量。其次,可穿戴產(chǎn)品,例如藍(lán)牙耳機(jī)、智能手表手環(huán)等,這其中很多觸控、語(yǔ)音芯片非常適合中小公司去開(kāi)發(fā)。

此外還出現(xiàn)了不少電源管理芯片的創(chuàng)業(yè)公司。由于半導(dǎo)體融資的相對(duì)寬容,以及海歸、國(guó)際公司背景的人士等非常積極,近幾年半導(dǎo)體創(chuàng)業(yè)公司非常多,這些中小企業(yè)促進(jìn)了國(guó)內(nèi)半導(dǎo)體的發(fā)展。

她還表示,IP向來(lái)是苦累活,是否產(chǎn)業(yè)內(nèi)能夠孵化出一個(gè)更創(chuàng)新的發(fā)展模式,讓IP做起來(lái)更快,也讓IP公司的價(jià)值更高。談到公司未來(lái)的發(fā)展,她說(shuō)銳成芯微將在云端、5G通信設(shè)備以及汽車智能化、電動(dòng)化等方向進(jìn)展IP業(yè)務(wù)拓展。

RISC-V在物聯(lián)網(wǎng)時(shí)代被寄予厚望,作為開(kāi)源指令集架構(gòu),避開(kāi)英特爾和ARM盤踞的PC和手機(jī)市場(chǎng),在物聯(lián)網(wǎng)市場(chǎng)有望逆襲。

賽昉科技成立兩年以來(lái),始終致力于推動(dòng)中國(guó)RISC-V生態(tài)、開(kāi)發(fā)本土化的RISC-V產(chǎn)品,據(jù)StarFive賽昉科技CEO徐滔介紹,今年有三款重大發(fā)布:

首先,今年3月份推出滿天星計(jì)劃,定位于MCU級(jí)別,使得業(yè)界能夠以極低的成本使用RISC-V核。

其次,今年9月份推出芯片平臺(tái)——驚鴻7100,是全球首款高性能的RISC-V人工智能視覺(jué)處理平臺(tái),側(cè)重于中高端的應(yīng)用。

賽昉科技CEO徐滔


再就是,12月發(fā)布天樞系列處理器IP。此前業(yè)界普遍認(rèn)為RISC-V是一個(gè)IoT方面的應(yīng)用,而天樞處理器則是面向高性能計(jì)算的CPU IP。它可以應(yīng)用于數(shù)據(jù)中心,5G通信、人工智能和機(jī)器學(xué)習(xí),在Edge端和Cloud端都可以使用。這對(duì)于RISC-V生態(tài)來(lái)說(shuō)具有里程碑的意義。

目前,RISC-V生態(tài)還不齊全,既需要開(kāi)發(fā)板,也需要社區(qū),一塊好的開(kāi)發(fā)板能夠?yàn)檎麄€(gè)開(kāi)源社區(qū)的賦能起到極大的推動(dòng)作用。而賽昉正在積極打造這一應(yīng)用層面上的RISC-V生態(tài)。

RISC-V正在成為眾多半導(dǎo)體公司的另一種選擇,無(wú)論是出于戰(zhàn)略還是供應(yīng)鏈安全的考慮。在中國(guó)RISC-V的發(fā)展還處于初級(jí)階段,徐滔認(rèn)為,最初工程師可能會(huì)因?yàn)镽ISC-V便宜而選擇它,其實(shí)不然,只要對(duì)產(chǎn)品和應(yīng)用場(chǎng)景的軟硬件生態(tài)理解足夠深刻,RISC-V完全能夠發(fā)揮更大的用處,工程師能夠采用RISC-V設(shè)計(jì)出更好的產(chǎn)品。

和芯微從成立之初的核心定位就是服務(wù)中國(guó)大量的中小IC設(shè)計(jì)企業(yè),提供高速高精度數(shù)模混合信號(hào)集成電路IP核。和芯微提供高速接口、數(shù)模轉(zhuǎn)換、電源管理、鎖相環(huán)和RC振蕩器等IP,積累了400多項(xiàng)專利,其中包括美國(guó)發(fā)明專利80多項(xiàng),國(guó)內(nèi)的發(fā)明專利接近300項(xiàng)。

IPGoal和芯微電子CEO 鄒錚賢認(rèn)為系統(tǒng)廠商包括研究所、渠道商都開(kāi)始定制芯片,這成為IP公司、設(shè)計(jì)服務(wù)公司未來(lái)生存的空間。在當(dāng)前的機(jī)遇和挑戰(zhàn)面前,IP公司可以積極融資、快速IPO、下決心培養(yǎng)人才,擴(kuò)充人力,跨Foundry,多工藝節(jié)點(diǎn),全國(guó)布局,近距離服務(wù)客戶,以及可定制全流程服務(wù)能力。

IPGoal和芯微電子CEO 鄒錚賢

芯片設(shè)計(jì)公司應(yīng)掌握自研核心技術(shù),這是其產(chǎn)品的核心競(jìng)爭(zhēng)力。IP企業(yè)盡可能的幫助芯片公司解決非核心競(jìng)爭(zhēng)力的問(wèn)題,只有通用的可復(fù)制的IP才應(yīng)由IP公司去做,這樣才能發(fā)揮出IP的最大價(jià)值。

鄒總形容中小IC設(shè)計(jì)企業(yè)就像在大洪水中的小舢板一般,若要在大風(fēng)大浪中不翻船,壓力非常大。就拿近期出現(xiàn)的晶圓產(chǎn)能緊缺的問(wèn)題來(lái)說(shuō),中小客戶面對(duì)的最大問(wèn)題是沒(méi)有產(chǎn)能。這將直接影響到中小企業(yè)的生存,更不用談創(chuàng)新。

今年8月18日,芯原股份在科創(chuàng)板上市??胺Q“中國(guó)芯片IP第一股”的芯原目前擁有5大數(shù)字處理器IP,包括GPU IP、NPU IP、VPU IP、DSP IP和ISP IP;共計(jì) 1400多個(gè)數(shù)?;旌螴P和射頻IP ,全球范圍內(nèi)擁有有效發(fā)明專利128項(xiàng)、商標(biāo)74項(xiàng);在中國(guó)境內(nèi)登記集成電路布圖設(shè)計(jì)專有權(quán)132項(xiàng)、軟件著作權(quán)12項(xiàng)以及豐富的技術(shù)秘密儲(chǔ)備。根據(jù)市場(chǎng)分析公司IPnest發(fā)布的2019年全球半導(dǎo)體IP廠商的營(yíng)收排名,進(jìn)入前十大IP廠商中的中國(guó)大陸廠商,僅排名第7的芯原股份一家,市占率為1.8%。

芯原股份(VeriSilicon)董事長(zhǎng)兼總裁戴偉民博士

芯原股份董事長(zhǎng)兼總裁戴偉民博士談到萬(wàn)物信聯(lián),在邊緣計(jì)算、云計(jì)算上面存在著大量的數(shù)據(jù),這些數(shù)據(jù)如何進(jìn)行“安全”的聯(lián)接,信聯(lián)是當(dāng)下重要的課題。要讓大家相信就必須開(kāi)源,讓所有人都能監(jiān)督,在國(guó)外谷歌一直在做推動(dòng)信息安全的構(gòu)建,而芯原作為平臺(tái)廠商是國(guó)內(nèi)的推動(dòng)者之一,目標(biāo)是各方互認(rèn)的安全數(shù)據(jù)的傳遞。

芯原是中國(guó)RISC-V產(chǎn)業(yè)聯(lián)盟的理事長(zhǎng)單位,戴博士指出,RISC-V目前面臨的兩個(gè)主要問(wèn)題一是專利,二是生態(tài)。專利方面,雖然RISC-V的指令集是開(kāi)源的,但指令集僅相當(dāng)于字典中的“字”,“字”雖說(shuō)是開(kāi)源的,如果寫(xiě)成“文章”就有可能出現(xiàn)專利問(wèn)題。生態(tài)方面,RISC-V再去進(jìn)入手機(jī)、電腦等市場(chǎng)機(jī)會(huì)渺茫,畢竟這兩個(gè)市場(chǎng)已經(jīng)形成強(qiáng)大的生態(tài)。碎片化的物聯(lián)網(wǎng)市場(chǎng)對(duì)RISC-V來(lái)說(shuō),是個(gè)很好的應(yīng)用空間。芯原也正致力于推動(dòng)相關(guān)產(chǎn)業(yè)生態(tài)的發(fā)展。例如,利用聯(lián)盟的力量推動(dòng)開(kāi)發(fā)板、開(kāi)發(fā)軟件的開(kāi)源與共享,通過(guò)技術(shù)、交流共享等共同促進(jìn)RISC-V應(yīng)用的進(jìn)步。

晶圓代工:從產(chǎn)能擴(kuò)充的幾個(gè)方向看未來(lái)的增長(zhǎng)點(diǎn)

臺(tái)積電在今年前三季度較去年同期達(dá)30%的增長(zhǎng),加上第四季度的良好預(yù)期,全年有望實(shí)現(xiàn)30%的成長(zhǎng)。而上一次業(yè)績(jī)有大幅成長(zhǎng)還是在十年前,2010年經(jīng)濟(jì)從金融危機(jī)中逐漸恢復(fù),從而拉動(dòng)了半導(dǎo)體市場(chǎng)的增長(zhǎng)。

不過(guò),TSMC臺(tái)積電(中國(guó))副總經(jīng)理陳平博士認(rèn)為,盡管新冠疫情造成的物流停滯及遠(yuǎn)程服務(wù)需求帶來(lái)了半導(dǎo)體市場(chǎng)的波動(dòng),導(dǎo)致下半年需求集中爆發(fā)。但如果今天沒(méi)有突發(fā)的公共衛(wèi)生事件呢?陳平表示,近年來(lái)隨著5G、AI以及IoT的發(fā)展,市場(chǎng)對(duì)芯片的需求進(jìn)一步迅速提高,因此臺(tái)積電對(duì)今年市場(chǎng)的預(yù)估原本就是樂(lè)觀的,即便沒(méi)有突發(fā)的疫情,半導(dǎo)體市場(chǎng)的表現(xiàn)也會(huì)很好。

TSMC臺(tái)積電(中國(guó))副總經(jīng)理陳平


為了應(yīng)對(duì)這一增長(zhǎng)趨勢(shì),臺(tái)積電將以移動(dòng)計(jì)算為主的一個(gè)平臺(tái),逐漸擴(kuò)充為移動(dòng)計(jì)算、高效計(jì)算、智能車載、物聯(lián)網(wǎng)這四個(gè)平臺(tái)共同發(fā)展。并持續(xù)發(fā)展先進(jìn)工藝制程以滿足這四大應(yīng)用平臺(tái)所需。

陳平同時(shí)認(rèn)為,目前整個(gè)行業(yè)呈現(xiàn)的產(chǎn)能缺口存在水分,而水分?jǐn)D掉之后的水位在哪里,還需要大家持續(xù)關(guān)注。

首先,上半年因疫情很多地區(qū)物流阻斷,OEM上半年無(wú)法正常備貨,,另外各類遠(yuǎn)程辦公、教育,以及數(shù)據(jù)中心擴(kuò)容造成各類終端市場(chǎng)的需求猛增,所以到下半年,市場(chǎng)的需求在晶圓代工廠這個(gè)環(huán)節(jié)被放大,而缺貨的情形進(jìn)一步造就了市場(chǎng)恐慌,讓大家激進(jìn)囤貨,以比正常庫(kù)存天數(shù)1.5倍甚至2倍的規(guī)劃來(lái)建庫(kù)存以尋求供應(yīng)安全。結(jié)合半導(dǎo)體市場(chǎng)本身就有成長(zhǎng)的需求,陳平預(yù)測(cè)這個(gè)水位是在很高的水位進(jìn)行疊加,因此消除水分需要較長(zhǎng)時(shí)間。

他對(duì)半導(dǎo)體的長(zhǎng)期需求非常有信心,5G、AI以及IOT的拉動(dòng)效應(yīng)將持續(xù)顯現(xiàn)。

今年是聯(lián)電成立四十周年,2017年聯(lián)電宣布重大業(yè)務(wù)決策,即放棄12納米以下先進(jìn)工藝的投資,專注特色工藝。今年電源管理芯片、驅(qū)動(dòng)IC等供不應(yīng)求,產(chǎn)能爆滿,聯(lián)電自然成為大贏家。

和艦芯片制造銷售副總經(jīng)理林偉圣

和艦芯片制造銷售副總經(jīng)理林偉圣告訴記者,國(guó)內(nèi)系統(tǒng)廠商已經(jīng)將供應(yīng)鏈安全放在第一位,那么備貨時(shí)可能采取比過(guò)去積極的做法。受新冠疫情的影響,東南亞的生產(chǎn)鏈條變得不穩(wěn)定,反而中國(guó)大陸的生產(chǎn)鏈條健全,因此有部分國(guó)內(nèi)系統(tǒng)廠商的海外加工訂單回流。另外,今年5G加速推動(dòng)終端應(yīng)用增長(zhǎng)。

擴(kuò)產(chǎn)方面,聯(lián)電在去年并購(gòu)日本一座12寸特色工藝晶圓廠。8寸現(xiàn)有晶圓廠擴(kuò)產(chǎn)設(shè)備取得不易,如果有機(jī)會(huì)合并八寸廠,會(huì)是比較適合的方案。未來(lái)12寸線以增加28納米和22納米的產(chǎn)能為主。

林偉圣分析,紓解八寸產(chǎn)能緊缺,電源管理芯片迭代到12寸,也是值得關(guān)注。電源管理芯片的電壓供給分三個(gè)檔次。從低壓到30V,30-100V,100-700V,相應(yīng)的市場(chǎng)規(guī)模分別是,低壓占60%,30-100V接近22%,100-700V接近18%。在工藝的節(jié)點(diǎn)上,粗分三個(gè)節(jié)點(diǎn),第一個(gè)是現(xiàn)在最大量的8寸0.25微米或者是0.35微米。第二個(gè)迭代,目前也在上大量的是0.11微米到0.18微米,第三個(gè)是12寸90納米或者是55納米。

驅(qū)動(dòng)工藝的迭代,是數(shù)字芯片所占的面積,如果數(shù)字小于30%,0.25微米-0.35微米夠用,30-60%的數(shù)字對(duì)應(yīng)的是0.11微米-0.18微米,數(shù)字增加到60%,就需要12寸的工藝。

目前國(guó)內(nèi)大部分電源管理芯片都是在0.25微米-0.35微米,有的已經(jīng)做到0.18微米-0.11微米,這部分都是8寸。目前海外的歐美公司已經(jīng)在研發(fā)12寸的55納米BCD工藝,做一些高端的電源數(shù)字化芯片。

芯片復(fù)雜度提高,國(guó)內(nèi)測(cè)試業(yè)迎頭趕上

我們通常將芯片的封測(cè)當(dāng)作同一個(gè)產(chǎn)業(yè),其實(shí)不然,中國(guó)大陸擁有封裝三雄企業(yè),在專注測(cè)試這個(gè)領(lǐng)域的企業(yè)卻非常少。利揚(yáng)芯片是國(guó)內(nèi)第三方芯片測(cè)試的龍頭企業(yè),于今年11月成功登陸科創(chuàng)板。

利揚(yáng)芯片(LEADYO)首席執(zhí)行官?gòu)堃噤h表示,隨著芯片設(shè)計(jì)越來(lái)越復(fù)雜的趨勢(shì),對(duì)芯片測(cè)試的依賴程度也越來(lái)越大,以測(cè)試占芯片成本的6%-8%來(lái)計(jì)算,僅服務(wù)于國(guó)內(nèi)芯片設(shè)計(jì)公司的測(cè)試產(chǎn)值就達(dá)到250億人民幣。以3000億美金的芯片進(jìn)口額來(lái)計(jì)算,則測(cè)試服務(wù)未來(lái)的市場(chǎng)規(guī)模將是一個(gè)千億元的市場(chǎng),發(fā)展?jié)摿薮蟆?br />

利揚(yáng)芯片(688135.SH)首席執(zhí)行官?gòu)堃噤h

相對(duì)于傳統(tǒng)封測(cè)一體化企業(yè),利揚(yáng)芯片更專注于測(cè)試服務(wù),事實(shí)上芯片測(cè)試屬于專業(yè)化極強(qiáng)的技術(shù)服務(wù)行業(yè)。利揚(yáng)芯片主要專注于數(shù)字類和大數(shù)小模等中高端芯片的測(cè)試,為客戶提供增值的芯片測(cè)試服務(wù)。目前已經(jīng)建立33大類芯片測(cè)試解決方案,量產(chǎn)測(cè)試的芯片超過(guò)3000多種。今年晶圓代工、封裝廠都開(kāi)啟了漲價(jià)模式,利揚(yáng)芯片并沒(méi)有隨之漲價(jià),反而會(huì)借助募投項(xiàng)目的實(shí)施,計(jì)劃增加更多新的產(chǎn)能服務(wù)中國(guó)芯。

未來(lái)利揚(yáng)芯片將關(guān)注幾個(gè)重點(diǎn)研發(fā)方向,分別是傳感器、存儲(chǔ)器以及人工智能高算力芯片等。利揚(yáng)芯片將提供極具競(jìng)爭(zhēng)力測(cè)試解決方案,以軟硬件組合和大數(shù)據(jù)分析提供芯片設(shè)計(jì)公司增值服務(wù)。正因?yàn)橛袊?yán)格精準(zhǔn)的測(cè)試為芯片產(chǎn)品交付把關(guān),可以根據(jù)不同的性能指標(biāo)為芯片產(chǎn)品分檔,使得芯片物盡其用,減少殘次和報(bào)廢品,從而提高產(chǎn)品的有效利用率。以利揚(yáng)芯片為龍頭的專業(yè)測(cè)試服務(wù)公司為中國(guó)芯保駕護(hù)航。

打造產(chǎn)業(yè)生態(tài),助力IC設(shè)計(jì)尤其是中小企業(yè)快速發(fā)展

摩爾精英(MooreElite)最近完成了新一輪數(shù)億元融資,圍繞其核心使命“讓中國(guó)沒(méi)有難做的芯片”的生態(tài)構(gòu)建又更進(jìn)一步。

摩爾精英董事長(zhǎng)兼CEO張競(jìng)揚(yáng)分析了為什么要打造為中小芯片公司服務(wù)的芯片設(shè)計(jì)和供應(yīng)鏈平臺(tái)的原因。

摩爾精英董事長(zhǎng)兼CEO張競(jìng)揚(yáng)

他認(rèn)為,產(chǎn)業(yè)鏈的供應(yīng)商,不管是晶圓代工,封裝測(cè)試還是EDA/IP廠商,營(yíng)收體量普遍在10億人民幣以上,比90%的芯片公司都大1-2個(gè)數(shù)量級(jí),沒(méi)有門當(dāng)戶對(duì),就很難平等合作。而中國(guó)前13%的芯片公司,覆蓋80%的銷售額,供應(yīng)商理性的選擇就是把資源專注在這前13%的公司身上,所以有很多中小公司得不到合理的價(jià)格、及時(shí)的技術(shù)支持和公平競(jìng)爭(zhēng)的機(jī)會(huì),創(chuàng)業(yè)團(tuán)隊(duì)沒(méi)有能夠發(fā)揮出來(lái)自己的優(yōu)勢(shì),反而被供應(yīng)鏈和運(yùn)營(yíng)的短板拖累,功虧一簣。

對(duì)于中小芯片公司來(lái)說(shuō),一顆芯片的產(chǎn)品化過(guò)程中,產(chǎn)業(yè)鏈的Offering和自己的需求之間有一道難以跨越的鴻溝,因?yàn)橐?guī)模的問(wèn)題,經(jīng)驗(yàn)的問(wèn)題,中小公司很難用好這些頂級(jí)的供應(yīng)商,太多精力浪費(fèi)在試錯(cuò)和踩坑。

摩爾精英希望成為一座橋梁,以芯片“設(shè)計(jì)云、供應(yīng)鏈云、人才云”三大業(yè)務(wù)板塊為抓手,以芯片公司的需求為中心,用解決方案整合供應(yīng)商的產(chǎn)品和服務(wù),幫助中小芯片公司跨過(guò)這道鴻溝,提升產(chǎn)業(yè)鏈各環(huán)節(jié)協(xié)作的效率、同時(shí)降低風(fēng)險(xiǎn)。

中小芯片企業(yè)在物聯(lián)網(wǎng)市場(chǎng)的優(yōu)勢(shì)與痛點(diǎn)并存。

從宏觀看,未來(lái)的十年,聯(lián)網(wǎng)的設(shè)備數(shù)量會(huì)增長(zhǎng)20倍,新增高達(dá)7萬(wàn)億美金的市場(chǎng)。這些智能聯(lián)網(wǎng)設(shè)備的背后是大量的芯片機(jī)會(huì)。今天全球的芯片產(chǎn)值4500億美金,只占全球GDP的不到0.6%;隨著物聯(lián)網(wǎng)設(shè)備在全球的鋪開(kāi),這個(gè)比例會(huì)大幅提升,這是屬于所有半導(dǎo)體人的機(jī)會(huì)。

對(duì)中小公司而言,張競(jìng)揚(yáng)認(rèn)為小公司面對(duì)碎片化市場(chǎng)有優(yōu)勢(shì),他們很敏銳,可以比大公司早很多年,提前一個(gè)數(shù)量級(jí)的時(shí)候就進(jìn)入市場(chǎng),積累優(yōu)勢(shì),整合資源,當(dāng)市場(chǎng)達(dá)到一定體量,大公司想要進(jìn)入的時(shí)候,會(huì)遇到小公司的精準(zhǔn)狙擊。他認(rèn)為未來(lái)10年這里面會(huì)誕生很多物聯(lián)網(wǎng)細(xì)分領(lǐng)域的芯片龍頭,大公司要么放棄這些細(xì)分市場(chǎng),要么收購(gòu)細(xì)分龍頭公司完成市場(chǎng)覆蓋。

幾乎每個(gè)行業(yè)都會(huì)經(jīng)歷一個(gè)從傳統(tǒng)的,封閉的,線性的供應(yīng)鏈,走向開(kāi)放的價(jià)值協(xié)同網(wǎng)絡(luò)的過(guò)程,這中間有巨大的效率提升空間,張競(jìng)揚(yáng)表示,因此摩爾精英打造了一站式芯片設(shè)計(jì)和供應(yīng)鏈平臺(tái),希望服務(wù)好每一位中國(guó)芯創(chuàng)業(yè)者,長(zhǎng)遠(yuǎn)目標(biāo)是實(shí)現(xiàn)芯片產(chǎn)業(yè)鏈的在線化,智能化和協(xié)同化,提升新產(chǎn)品研發(fā)的效率,進(jìn)而解決物聯(lián)網(wǎng)芯片的碎片化痛點(diǎn)。

ICisC南京集成電路產(chǎn)業(yè)服務(wù)中心是一個(gè)服務(wù)平臺(tái),類似于原來(lái)的ICC,以公共技術(shù)服務(wù)為基礎(chǔ),以開(kāi)放創(chuàng)新和人才培養(yǎng)為特色,從而建設(shè)專業(yè)服務(wù)能力,打造產(chǎn)業(yè)生態(tài),助推集成電路產(chǎn)業(yè)的發(fā)展。ICisC南京集成電路產(chǎn)業(yè)服務(wù)中心副總經(jīng)理、南京集成電路大學(xué)校長(zhǎng)助理呂會(huì)軍在接受采訪時(shí)介紹道,目前在公共技術(shù)服務(wù)方面,ICISC推出了EDA服務(wù),測(cè)試服務(wù)等,開(kāi)放創(chuàng)新服務(wù)助力江北新區(qū)爭(zhēng)創(chuàng)國(guó)家級(jí)技術(shù)創(chuàng)新中心,成立了產(chǎn)業(yè)協(xié)同創(chuàng)新學(xué)院,今年在地方政府的主導(dǎo)下,又成立了南京集成電路大學(xué)。

ICisC南京集成電路產(chǎn)業(yè)服務(wù)中心副總經(jīng)理、南京集成電路大學(xué)校長(zhǎng)助理呂會(huì)軍

呂會(huì)軍認(rèn)為,國(guó)產(chǎn)半導(dǎo)體產(chǎn)業(yè)的發(fā)展主要在于人才和生態(tài)的打造??萍紕?chuàng)新以人為本,當(dāng)針對(duì)人工智能、大數(shù)據(jù)等新興產(chǎn)業(yè)高校還沒(méi)有相關(guān)系統(tǒng)化、專業(yè)化的課程來(lái)匹配時(shí),南京集成電路大學(xué)的成立正是為了搭建這樣的開(kāi)放式平臺(tái),向相關(guān)人才提供多學(xué)科、交叉融合的學(xué)習(xí)機(jī)會(huì)。

此外,生態(tài)的打造方面,南京ICisC助力江北新區(qū)在EDA方面進(jìn)行生態(tài)建設(shè)的布局,聯(lián)合華大九天、芯華章等廠商開(kāi)展大學(xué)計(jì)劃,培養(yǎng)EDA人才共同打造生態(tài)。南京集成電路大學(xué)的運(yùn)作,機(jī)制靈活。與高校相比,更強(qiáng)調(diào)個(gè)性化,依據(jù)高校的薄弱環(huán)節(jié)進(jìn)行有針對(duì)性的訓(xùn)練,以案例課程和項(xiàng)目實(shí)踐課程為主。邀請(qǐng)來(lái)自于資深的工程師、行業(yè)專家做師資。它將不是一所傳統(tǒng)意義上的大學(xué),更像一個(gè)銜接高校和企業(yè),推進(jìn)產(chǎn)教融合的一個(gè)開(kāi)放性的平臺(tái)。它是高校教育的一個(gè)重要補(bǔ)充,同時(shí)也是企業(yè)選材的一個(gè)主要來(lái)源。

南京發(fā)展集成電路有著不同于其他城市的特色,首先是發(fā)揮國(guó)際龍頭企業(yè)包括臺(tái)積電等落戶江北新區(qū)的引領(lǐng)和帶動(dòng)作用。其次,圍繞信創(chuàng)工程,推進(jìn)“芯機(jī)聯(lián)動(dòng)”,實(shí)現(xiàn)芯片和整機(jī)的良性發(fā)展。再者,要以EDA設(shè)計(jì)方法學(xué)為切入點(diǎn),通過(guò)技術(shù)驅(qū)動(dòng),賦能企業(yè)創(chuàng)新,形成南京集成電路高質(zhì)量發(fā)展的局面再者,要以EDA設(shè)計(jì)方法學(xué)為切入點(diǎn),通過(guò)技術(shù)驅(qū)動(dòng),賦能企業(yè)創(chuàng)新,形成南京集成電路高質(zhì)量發(fā)展的局面。

小結(jié):

物聯(lián)網(wǎng)和AI給半導(dǎo)體的各個(gè)環(huán)節(jié)帶來(lái)了新的機(jī)會(huì),例如面向中小企業(yè)的供應(yīng)鏈正在建立,國(guó)內(nèi)廠商有機(jī)會(huì)補(bǔ)短板,也有機(jī)會(huì)將新的技術(shù)融入到新的架構(gòu)當(dāng)中。國(guó)產(chǎn)IC設(shè)計(jì)業(yè)數(shù)量的不斷增長(zhǎng)除了競(jìng)爭(zhēng)加劇也是產(chǎn)業(yè)繁榮的象征。半導(dǎo)體上游正在助推這一繁榮的到來(lái)。

本文由電子發(fā)燒友網(wǎng)原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。如需轉(zhuǎn)載,請(qǐng)?zhí)砑游⑿盘?hào)elecfans999。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50033

    瀏覽量

    419888
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26676

    瀏覽量

    213063
  • 物聯(lián)網(wǎng)
    +關(guān)注

    關(guān)注

    2899

    文章

    43828

    瀏覽量

    369392
  • AI
    AI
    +關(guān)注

    關(guān)注

    87

    文章

    29456

    瀏覽量

    267778
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    意法半導(dǎo)體與高通合作開(kāi)發(fā)邊緣AI聯(lián)網(wǎng)解決方案

    意法半導(dǎo)體(簡(jiǎn)稱ST)與高通公司旗下子公司高通技術(shù)國(guó)際有限公司(簡(jiǎn)稱QTI)宣布,雙方達(dá)成一項(xiàng)新的戰(zhàn)略協(xié)議,合作開(kāi)發(fā)基于邊緣AI的下一代工業(yè)和消費(fèi)聯(lián)網(wǎng)解決方案。雙方將充分發(fā)揮互補(bǔ)優(yōu)勢(shì)
    的頭像 發(fā)表于 10-12 11:25 ?345次閱讀

    意法半導(dǎo)體與高通攜手推進(jìn)聯(lián)網(wǎng)解決方案

    近日,全球領(lǐng)先的半導(dǎo)體制造商意法半導(dǎo)體(ST)與高通技術(shù)國(guó)際有限公司,即高通公司的子公司,共同宣布了一項(xiàng)全新的戰(zhàn)略合作。雙方將攜手合作,共同開(kāi)發(fā)基于邊緣人工智能(AI)的下一代工業(yè)和消費(fèi)
    的頭像 發(fā)表于 10-10 16:47 ?206次閱讀

    意法半導(dǎo)體聯(lián)網(wǎng)eSIM解決方案簡(jiǎn)介

    本白皮書(shū)探討了使用eSIM的優(yōu)勢(shì)及其工作原理。其中還全面概述了新GSMA IoT eSIM規(guī)范,以及該規(guī)范如何確保為各種類型的互聯(lián)設(shè)備和應(yīng)用提供靈活安全的全球電信覆蓋解決方案。最后我們將介紹意法半導(dǎo)體便捷易用的聯(lián)網(wǎng)eSIM產(chǎn)品
    的頭像 發(fā)表于 09-11 11:45 ?310次閱讀
    意法<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>物</b><b class='flag-5'>聯(lián)網(wǎng)</b>eSIM解決方案簡(jiǎn)介

    集成Ceva蜂窩聯(lián)網(wǎng)平臺(tái)于意法半導(dǎo)體NB-IoT工業(yè)模塊,強(qiáng)化聯(lián)網(wǎng)連接能力

    合作。意法半導(dǎo)體已獲得Ceva-Waves Dragonfly NB-IoT平臺(tái)的授權(quán)許可,并將其核心技術(shù)成功集成至最新推出的ST87M01超緊湊、低功耗模塊中。該模塊集成了高效能的窄帶聯(lián)網(wǎng)(NB-IoT)通信技術(shù)與高精度的全
    的頭像 發(fā)表于 07-19 14:22 ?582次閱讀

    智慧工廠 重塑制造業(yè)生產(chǎn)版圖 #人工智能 #plc #聯(lián)網(wǎng)

    plc聯(lián)網(wǎng)
    juying
    發(fā)布于 :2024年07月12日 16:21:14

    意法半導(dǎo)體加速AI時(shí)代業(yè)務(wù)重組,重塑半導(dǎo)體制造未來(lái)

    隨著人工智能(AI)和數(shù)字孿生技術(shù)的迅猛發(fā)展,半導(dǎo)體行業(yè)正經(jīng)歷著前所未有的變革。在這場(chǎng)變革中,意法半導(dǎo)體(ST)站在了時(shí)代的前沿,宣布進(jìn)行根本性的業(yè)務(wù)重組,以快速響應(yīng)并引領(lǐng)AI時(shí)代的新
    的頭像 發(fā)表于 07-01 09:47 ?460次閱讀

    梯云聯(lián)|AI提高聯(lián)網(wǎng)感知能力:讓電梯更智能、安全!

    在當(dāng)今日新月異的科技浪潮中,人工智能(AI)與聯(lián)網(wǎng)(IoT)的深度融合正在為各行各業(yè)帶來(lái)革命性的變化。特別是在電梯行業(yè)中,AI技術(shù)的引入不
    的頭像 發(fā)表于 06-03 11:49 ?441次閱讀
    梯云<b class='flag-5'>物</b>聯(lián)|<b class='flag-5'>AI</b>提高<b class='flag-5'>物</b><b class='flag-5'>聯(lián)網(wǎng)</b>感知能力:讓電梯更智能、安全!

    AI融入零售業(yè):生成式AI正在改變顧客體驗(yàn)

    隨著 AI 的興起,零售業(yè)正在經(jīng)歷一場(chǎng)重大的技術(shù)變革。
    的頭像 發(fā)表于 04-09 09:51 ?550次閱讀

    新火種AI|AI重塑社交:騰訊與字節(jié)跳動(dòng)的新戰(zhàn)場(chǎng)

    AI重塑萬(wàn),它會(huì)如何重塑我們的社交?
    的頭像 發(fā)表于 04-07 16:38 ?380次閱讀
    新火種<b class='flag-5'>AI</b>|<b class='flag-5'>AI</b><b class='flag-5'>重塑</b>社交:騰訊與字節(jié)跳動(dòng)的新戰(zhàn)場(chǎng)

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    ,打著領(lǐng)帶,這可是當(dāng)年半導(dǎo)體弄潮兒的標(biāo)配。 推薦閱讀: 仙童(Fairchild)讓你感慨IC業(yè)的歷史 集成電路史上最著名的10個(gè)人 于是,第一個(gè)半導(dǎo)體時(shí)代誕生了——集成器件制造商
    發(fā)表于 03-27 16:17

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    領(lǐng)帶,這可是當(dāng)年半導(dǎo)體弄潮兒的標(biāo)配。 推薦閱讀: 仙童(Fairchild)讓你感慨IC業(yè)的歷史 集成電路史上最著名的10個(gè)人 于是,第一個(gè)半導(dǎo)體時(shí)代誕生了——集成器件制造商時(shí)代
    發(fā)表于 03-13 16:52

    AI半導(dǎo)體設(shè)計(jì)和制造中的作用

    半導(dǎo)體產(chǎn)業(yè)正在經(jīng)歷一場(chǎng)由數(shù)字化轉(zhuǎn)型引領(lǐng)的結(jié)構(gòu)性變革,人工智能(AI)技術(shù)融入產(chǎn)品研發(fā)過(guò)程進(jìn)一步加速了這一轉(zhuǎn)型。與此同時(shí),摩爾定律從晶體管微縮向系統(tǒng)級(jí)微縮的演進(jìn)以及新冠疫情引發(fā)的全球電子供應(yīng)鏈
    的頭像 發(fā)表于 02-23 09:59 ?878次閱讀

    來(lái)elexcon半導(dǎo)體展,看「先進(jìn)封裝」重塑產(chǎn)業(yè)鏈

    人類對(duì)經(jīng)濟(jì)效益的狂熱追求正在改變芯片封測(cè)這個(gè)曾經(jīng)規(guī)模不大的市場(chǎng),走在前面的企業(yè)已經(jīng)感受到,先進(jìn)封裝正在以進(jìn)擊的姿態(tài)重塑整個(gè)半導(dǎo)體產(chǎn)業(yè)鏈。 接力4個(gè)月前elexcon 2023第七屆中國(guó)
    的頭像 發(fā)表于 12-21 15:11 ?1075次閱讀
    來(lái)elexcon<b class='flag-5'>半導(dǎo)體</b>展,看「先進(jìn)封裝」<b class='flag-5'>重塑</b>產(chǎn)業(yè)鏈

    中國(guó)功率半導(dǎo)體行業(yè)異軍突起

    近期,無(wú)論是美國(guó)等西方國(guó)家的技術(shù)輸出限制,還是其它外來(lái)壓力,中國(guó)半導(dǎo)體產(chǎn)業(yè)均在政府的扶持下積極應(yīng)對(duì),逐步在非尖端技術(shù)半導(dǎo)體業(yè)坐穩(wěn)江山。據(jù)日本媒體專家分析,在車載功率半導(dǎo)體模組的領(lǐng)域能力
    的頭像 發(fā)表于 11-28 11:15 ?614次閱讀
    中國(guó)功率<b class='flag-5'>半導(dǎo)體</b>行業(yè)異軍突起

    越南正在大力發(fā)展半導(dǎo)體產(chǎn)業(yè)

    該地區(qū)擁有制造工廠,產(chǎn)品主要應(yīng)用于邏輯IC。短期內(nèi),越南半導(dǎo)體產(chǎn)業(yè)的發(fā)展主要將側(cè)重于存儲(chǔ)器封測(cè)、小量多樣的聯(lián)網(wǎng)應(yīng)用的IC設(shè)計(jì)產(chǎn)業(yè)。
    的頭像 發(fā)表于 11-16 15:52 ?527次閱讀
    越南<b class='flag-5'>正在</b>大力發(fā)展<b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)