0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

盤點(diǎn)國產(chǎn)光刻產(chǎn)業(yè)鏈的“星星之火”,十三年磨一劍背后的中國芯片業(yè)隱痛

工程師鄧生 ? 來源:芯東西 ? 作者: 芯東西 溫淑 ? 2020-12-27 11:26 ? 次閱讀

國產(chǎn)光刻機(jī)的研發(fā)進(jìn)程,似乎越發(fā)“撲朔迷離”。中國的IC前道用先進(jìn)光刻機(jī)研發(fā)之路,究竟走得怎么樣了?傳言中的ArF光刻機(jī)背后,又有哪些玩家的身影?我們挖掘國產(chǎn)193nm ArF浸沒式DUV光刻機(jī)背后的研發(fā)故事,發(fā)現(xiàn)除了國產(chǎn)光刻機(jī)龍頭上海微電子,其他5家“低調(diào)”的企業(yè)亦承擔(dān)了重要角色。

今年以來,中美貿(mào)易摩擦加劇、全球范圍內(nèi)疫情肆虐等種種因素,為國內(nèi)半導(dǎo)體產(chǎn)業(yè)鏈開啟了“hard”模式。其中,光刻機(jī)被“卡脖子”的問題,既是國產(chǎn)半導(dǎo)體產(chǎn)業(yè)的遠(yuǎn)慮,更是近憂。

芯東西了解到,面向這一難題,包括上海微電子在內(nèi)的上述六家國產(chǎn)光刻機(jī)產(chǎn)業(yè)鏈玩家,正致力于攻關(guān)先進(jìn)光刻機(jī)各個(gè)部件的技術(shù)壁壘。

今天,我們挖掘這六家企業(yè)身上的故事,盤點(diǎn)國產(chǎn)光刻產(chǎn)業(yè)鏈的“星星之火”。

一、光刻:十三年磨一劍背后的中國芯片業(yè)隱痛

半導(dǎo)體產(chǎn)業(yè)鏈可分為芯片設(shè)計(jì)、制造、封測(cè)三大環(huán)節(jié),在芯片制造幾百道工序之中,光刻是最重要的步驟之一,直接定義了晶體管的尺寸。

光刻機(jī)是中國芯片業(yè)發(fā)展的一道短板,這已經(jīng)不是新聞。盡管如此,提起中國可量產(chǎn)的光刻機(jī)已被卡在90nm制程4年,這個(gè)時(shí)間跨度仍令人心驚。

2007年,中國光刻機(jī)龍頭企業(yè)上海微電子宣布突破365nm光波長的DUV光刻技術(shù),該技術(shù)可用于90nm芯片制程的生產(chǎn)。2016年,上海微電子SSX600系列的三款步進(jìn)掃描投影光刻機(jī)實(shí)現(xiàn)量產(chǎn),其中SSA600/20光刻機(jī)分辨率達(dá)到90nm。從2007國產(chǎn)光刻技術(shù)突破90nm分辨率至今,國產(chǎn)玩家十三年磨一劍。其間, 盡管國產(chǎn)光刻機(jī)玩家不斷探索,但始終未實(shí)現(xiàn)更先進(jìn)光刻機(jī)的量產(chǎn)。

然而,90nm制程的芯片一般用于電源管理芯片、MCU等非核心芯片的生產(chǎn),并不能滿足手機(jī)處理器產(chǎn)品的需求。

目前,大陸晶圓代工龍頭中芯國際14nm晶圓代工產(chǎn)線上,使用的是荷蘭光刻機(jī)廠商ASML的設(shè)備。

直到今年,中國光刻機(jī)研發(fā)工作似乎出現(xiàn)進(jìn)展。今年早些時(shí)候曾傳出消息,稱上海微電子已經(jīng)研發(fā)出可用于22nm芯片生產(chǎn)的光刻機(jī),但并未透露相關(guān)光刻機(jī)型號(hào),芯東西也未找到確鑿的信源。

相比之下,上海微電子突破28nm芯片制程用光刻機(jī)技術(shù)壁壘的消息更為可信。

據(jù)方正證券今年發(fā)布的一份研報(bào),在02專項(xiàng)光刻機(jī)項(xiàng)目二期中,設(shè)定于2020年12月驗(yàn)收193nm ArF浸沒式DUV光刻機(jī),這款光刻機(jī)直接對(duì)標(biāo)國際光刻龍頭ASML現(xiàn)階段最強(qiáng)DUV光刻機(jī)TWINSCAN NXT:2000i。

相比90nm制程芯片,28nm制程的芯片能制造出性能更為優(yōu)越的芯片產(chǎn)品。盡管短時(shí)間內(nèi)可能無法實(shí)現(xiàn)193nm ArF浸沒式DUV光刻機(jī)的量產(chǎn),但這一技術(shù)突破的戰(zhàn)略意義毋庸置疑。

要知道,理論上來說,193nm光波長ArF浸沒式DUV光刻機(jī)可用于7nm制程芯片的生產(chǎn)。目前,全球擁有193nm光波長ArF浸沒式DUV光刻機(jī)生產(chǎn)量產(chǎn)能力的玩家中,數(shù)得上名字的也僅有占據(jù)80%全球光刻份額的ASML,以及分食剩余市份的尼康和佳能。

跨越13年研發(fā),這臺(tái)可用于28nm制程芯片生產(chǎn)的光刻機(jī),可以說被中國芯片業(yè)期盼已久。

二、上海微電子:深紫外線光刻機(jī)水平有望追平ASML

從技術(shù)層面來講,光刻是指利用光學(xué)–化學(xué)反應(yīng)原理,將電路圖轉(zhuǎn)移到晶圓表面的工藝技術(shù),而光刻機(jī)則是光刻工序中的一種投影曝光系統(tǒng)。

光刻機(jī)可分為無掩模光刻機(jī)和有掩模光刻機(jī),前者技術(shù)壁壘相對(duì)較低,一般用于高分辨率掩模版、集成電路原型驗(yàn)證芯片等特定芯片的小批量制造。而卡住國產(chǎn)半導(dǎo)體產(chǎn)業(yè)鏈“脖子”的,則是技術(shù)壁壘較高的有掩模光刻機(jī),有掩模光刻機(jī)目前多用于先進(jìn)制程的前道工藝中。

從分類來看,無掩模光刻機(jī)又可分為電子束直寫光刻機(jī)、離子束直寫光刻機(jī)、激光直寫光刻機(jī);有掩模光刻機(jī)分為接觸/接近式光刻機(jī)和投影式光刻機(jī)。

此外,有掩模光刻機(jī)又可按照光刻光源的代際進(jìn)行劃分,上海微電子正在研發(fā)的193nm光波長ArF浸沒式光刻機(jī)屬于第四代光刻機(jī),可用于45~22nm制程芯片的生產(chǎn)。

目前,上海微電子是中國國內(nèi)唯一能制造IC前道工藝用光刻機(jī)的企業(yè),在其已量產(chǎn)的光刻機(jī)產(chǎn)品中,最為先進(jìn)的是SSA600/200產(chǎn)品,能夠用于90nm制程芯片的生產(chǎn)。

深紫外線光刻機(jī)作為有掩模光刻機(jī)歷史上的第四代光源,193nm光波長ArF(準(zhǔn)分子激光器)已經(jīng)是世界領(lǐng)先水平。也就是說,如果上海微電子成功設(shè)計(jì)、集成出193nm光波長ArF浸沒式光刻機(jī)。就意味著在深紫外線光刻領(lǐng)域,中國技術(shù)水平已經(jīng)趕上全球光刻機(jī)龍頭ASML。

三、上海微電子背后的國家隊(duì)“五強(qiáng)”

光刻機(jī)主要可分為照明系統(tǒng)、Stage系統(tǒng)(工作臺(tái)系統(tǒng))、鏡頭組、搬送系統(tǒng)、Alignment系統(tǒng)(對(duì)準(zhǔn)標(biāo)記系統(tǒng))這五大組成部分。

作為高度復(fù)雜的半導(dǎo)體領(lǐng)域關(guān)鍵設(shè)備,光刻機(jī)對(duì)集成度的要求極高。有數(shù)據(jù)顯示,組裝一臺(tái)光刻機(jī)需要上萬個(gè)零部件。

由于光刻機(jī)各個(gè)組件的高度復(fù)雜,全球光刻機(jī)龍頭ASML即在成立早期,采取“Out House”的運(yùn)營模式,僅負(fù)責(zé)光刻機(jī)整機(jī)的設(shè)計(jì)和子系統(tǒng)的需求定義,將各個(gè)子系統(tǒng)委托第三方廠商進(jìn)行研發(fā)生產(chǎn)。

直至今天,ASML仍保留有部分“Out House”模式的傳統(tǒng),比如,其使用的光刻機(jī)核心組件,由蔡司、Cymer等多家廠商供應(yīng)。

與ASML相同,上海微電子的193nm光波長ArF浸沒式光刻機(jī)研發(fā)之路上,亦有國產(chǎn)產(chǎn)業(yè)鏈玩家的支持。

為盡快完成對(duì)193nm光波長ArF DUV光刻機(jī)的攻關(guān),中國推出“極大規(guī)模集成電路制造技術(shù)及成套工藝”項(xiàng)目(又稱“02專項(xiàng)計(jì)劃”),其中專門針對(duì)光刻產(chǎn)業(yè)進(jìn)行規(guī)劃。

根據(jù)“02專項(xiàng)計(jì)劃”,我國光刻機(jī)研發(fā)工作亦采用類似“Out House”的研發(fā)模式,上海微電子負(fù)責(zé)整機(jī)的設(shè)計(jì)與集成,另有五家企業(yè)各自負(fù)責(zé)對(duì)光刻機(jī)不同核心零部件的研發(fā)。

值得一提的是,這五家承擔(dān)核心零部件研發(fā)企業(yè),均與中國頂尖高校聯(lián)系緊密,代表著中國最頂尖的半導(dǎo)體研發(fā)實(shí)力。

這五家企業(yè)分別是:

1、北京科益虹源

北京科益虹源光電技術(shù)有限公司成立于2016年7月,主營光刻機(jī)光源系統(tǒng),擁有準(zhǔn)分子激光技術(shù)研究、產(chǎn)品開發(fā)的能力。

在企業(yè)工商平臺(tái)可以看到,北京科益虹源第一大客戶為持股37.5%的中國科學(xué)院微電子研究所。

2、北京國望光學(xué)

北京國望光學(xué)科技有限公司成立于2018年,經(jīng)營范圍包含光刻機(jī)曝光光學(xué)系統(tǒng)、高端鏡頭、光電儀器與裝備、光學(xué)加工與檢測(cè)設(shè)備等。

目前,北京亦莊國際投資發(fā)展有限公司持股國望光學(xué)約66.67%,中國科學(xué)院長春光學(xué)精密機(jī)械與物理研究所持股國望光學(xué)約14.67%。

3、長春國科精密

長春國科精密光學(xué)技術(shù)有限公司成立于2014年,總部位于吉林省長春市,在上海設(shè)有投影光刻照明系統(tǒng)研發(fā)事業(yè)部。

目前,長春國科精密由長春凈月創(chuàng)業(yè)投資有限公司100%持股。值得一提的是,長春國科精密與北京國望光學(xué)的法定代表人,均為長春光機(jī)所所長助理孫守紅。

長春光機(jī)所是中科院最大的研究所,先后孕育出了西安光機(jī)所、上海光機(jī)所、成都光機(jī)所等科研機(jī)構(gòu)。

據(jù)長春國科精密官網(wǎng),目前,長春國科精密作為“國家科技重大專項(xiàng)02專項(xiàng)”支持的唯一高端光學(xué)技術(shù)研發(fā)單位,正在承擔(dān)NA0.82、NA1.35等多種類型高端IC制造投影光刻機(jī)曝光光學(xué)系統(tǒng)的技術(shù)研發(fā)及產(chǎn)業(yè)化推進(jìn)工作。

4、北京華卓精科

華卓精科成立于2012年,主營業(yè)務(wù)為集成電路制造裝備及關(guān)鍵零部件的研發(fā)和產(chǎn)業(yè)化。目前產(chǎn)品包括光刻機(jī)雙工件臺(tái)及其衍生產(chǎn)品超精密運(yùn)動(dòng)平臺(tái)、激光退火設(shè)備、晶圓鍵合設(shè)備等。

今年六月份,華卓精科的科創(chuàng)板IPO申請(qǐng)獲得上交所受理,但這一IPO流程已于9月30日被中止。根據(jù)上交所官網(wǎng),中止原因是華卓精科發(fā)行上市申請(qǐng)文件中記載的財(cái)務(wù)資料已過有效期,需要補(bǔ)充提交。

在華卓精科遞交的招股書中可以看到,其光刻機(jī)雙工件臺(tái)產(chǎn)品的重要客戶,正是上海微電子。

5、浙江啟爾機(jī)電

浙江啟爾機(jī)電技術(shù)有限公司成立于2013年,主要研發(fā)、生產(chǎn)和銷售高端半導(dǎo)體裝備超潔凈流控系統(tǒng)及其關(guān)鍵零部件。啟爾機(jī)電前身是浙江大學(xué)流體動(dòng)力與機(jī)電系統(tǒng)國家重點(diǎn)實(shí)驗(yàn)室啟爾團(tuán)隊(duì)。

四、無掩模光刻機(jī)已實(shí)現(xiàn)“從0到1”

相比有掩模光刻機(jī),中國在無掩模光刻機(jī)方面走得更遠(yuǎn)。盡管激光直寫光刻技術(shù)難以達(dá)到納米級(jí)別的光刻精度,但在面板(FPD)領(lǐng)域有較多應(yīng)用。

商務(wù)部數(shù)據(jù)顯示,2013年至今,國內(nèi)面板市場發(fā)展迅速,2013~2020 年復(fù)合增長率達(dá) 36.48%。從全球市場占比來看,2013年至今,中國面板產(chǎn)能持續(xù)增長,在全球市場占比已達(dá)到近50%。

目前,除了大陸光刻機(jī)龍頭上海微電子外,合肥芯碩半導(dǎo)體、無錫影速半導(dǎo)體、東方晶源微電子等玩家已經(jīng)在無掩模光刻機(jī)市場取得技術(shù)突破。

其中,合肥芯碩半導(dǎo)體是國內(nèi)首家半導(dǎo)體直寫光刻設(shè)備制造商,研發(fā)的ATD4000型號(hào)產(chǎn)品已經(jīng)實(shí)現(xiàn)最高200nm的量產(chǎn)。

無錫影速半導(dǎo)體是由中科院微電子所聯(lián)合業(yè)內(nèi)技術(shù)團(tuán)隊(duì)、產(chǎn)業(yè)基金發(fā)起成立的專業(yè)微電子裝備企業(yè),目前已成功研發(fā)國際首臺(tái)雙臺(tái)面高速激光直接成像連線設(shè)備(LDI),實(shí)現(xiàn)了最高200nm的量產(chǎn)。

東方晶源微電子的產(chǎn)品主要用于20nm以下極大規(guī)模半導(dǎo)體片制子束圖像檢測(cè)設(shè)備和合優(yōu)化開發(fā)及生產(chǎn),可為關(guān)鍵工序提供高速、高精度的檢測(cè)系統(tǒng)。

結(jié)語:中國光刻技術(shù)攻關(guān)的關(guān)鍵時(shí)刻

不論是瓦森納協(xié)定還是近期的中美貿(mào)易摩擦,在國產(chǎn)芯片產(chǎn)業(yè)鏈面臨的困境中,光刻技術(shù)無疑是直接卡“脖子”的一環(huán)。

要解決這一問題,技術(shù)攻關(guān)當(dāng)然必不可少的。目前,國產(chǎn)的深紫外線光刻機(jī)正處于研發(fā)的關(guān)鍵進(jìn)程之中。在這背后,包括上海微電子在內(nèi)的多位國產(chǎn)玩家正在攻關(guān)。

另外,借力國外成熟產(chǎn)品或可幫助芯片制造商實(shí)現(xiàn)突破。2018年,我國芯片公司中芯國際花費(fèi)約1.2億美元,向阿斯麥訂購了一臺(tái)EUV光刻機(jī)。由于種種原因,目前,這臺(tái)光刻機(jī)還未成功交付。我們期待它能夠盡快落地中國,助力中國芯片事業(yè)再上一個(gè)臺(tái)階。

在嚴(yán)峻的外部貿(mào)易環(huán)境下,攻關(guān)光刻技術(shù)對(duì)國產(chǎn)芯片產(chǎn)業(yè)鏈來說意義非凡,期待相關(guān)技術(shù)攻關(guān)能夠?qū)崿F(xiàn)突破。

責(zé)任編輯:PSY

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50026

    瀏覽量

    419814
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26670

    瀏覽量

    212967
  • 國產(chǎn)
    +關(guān)注

    關(guān)注

    0

    文章

    374

    瀏覽量

    19764
  • 光刻
    +關(guān)注

    關(guān)注

    8

    文章

    314

    瀏覽量

    30070
  • 光刻機(jī)
    +關(guān)注

    關(guān)注

    31

    文章

    1141

    瀏覽量

    47082
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    國產(chǎn)FPGA的發(fā)展前景是什么?

    門陣列)等,提升產(chǎn)品的綜合競爭力。 四、產(chǎn)業(yè)鏈協(xié)同發(fā)展 上下游合作:國產(chǎn)FPGA廠商加強(qiáng)與EDA軟件、IP核、封裝測(cè)試等產(chǎn)業(yè)鏈上下游企業(yè)的合作,共同打造完整的FPGA生態(tài)系統(tǒng)。 供應(yīng)
    發(fā)表于 07-29 17:04

    博泰車聯(lián)網(wǎng)在多個(gè)領(lǐng)域連獲嘉獎(jiǎng),領(lǐng)跑智能汽車產(chǎn)業(yè)發(fā)展

    十五年磨一劍,博泰車聯(lián)網(wǎng)憑借在汽車智能化業(yè)務(wù)全產(chǎn)業(yè)鏈的深度布局,再次在市場份額、生產(chǎn)能力、智能座艙設(shè)計(jì)、供應(yīng)等方面榮獲多個(gè)獎(jiǎng)項(xiàng),領(lǐng)跑智能汽車產(chǎn)業(yè)發(fā)展。
    的頭像 發(fā)表于 07-10 09:38 ?331次閱讀

    中國芯片制造新思路,芯華章EDA數(shù)字驗(yàn)證

    芯華章以“開辟中華芯片產(chǎn)業(yè)的新篇章”為目標(biāo),開啟了中國EDA產(chǎn)業(yè)的做出“中國自己的EDA”,實(shí)現(xiàn)產(chǎn)業(yè)鏈
    的頭像 發(fā)表于 02-21 15:23 ?575次閱讀
    <b class='flag-5'>中國芯片</b>制造新思路,芯華章EDA數(shù)字驗(yàn)證

    制造業(yè)難題:如何解決中國芯片產(chǎn)業(yè)的瓶頸

    中國芯片產(chǎn)業(yè)需要加速研發(fā)和創(chuàng)新,以提高其自主設(shè)計(jì)和生產(chǎn)的芯片的質(zhì)量和性能。中國芯片公司需要向更高級(jí)的領(lǐng)域發(fā)展,例如人工智能、物聯(lián)網(wǎng)和大數(shù)據(jù)
    的頭像 發(fā)表于 01-30 16:34 ?2353次閱讀

    跨周期,創(chuàng)未來!華秋喜獲中國產(chǎn)業(yè)互聯(lián)網(wǎng)十周年-杰出企業(yè)

    /PCBA加工“站式柔性供應(yīng)服務(wù)體系,全面打通產(chǎn)業(yè)上、中、下游,形成了電子產(chǎn)業(yè)鏈閉環(huán)生態(tài)。 下個(gè)十年,華秋將持續(xù)投入科技創(chuàng)新,驅(qū)動(dòng)
    發(fā)表于 01-04 11:57

    陶瓷基板產(chǎn)業(yè)鏈分布及工藝制作流程

    陶瓷基板產(chǎn)業(yè)鏈上游主要為陶瓷粉體制備企業(yè),中游為陶瓷裸片及陶瓷基板生產(chǎn)企業(yè),下游則涵蓋汽車、衛(wèi)星、光伏、軍事等多個(gè)應(yīng)用領(lǐng)域。縱觀陶瓷基板產(chǎn)業(yè)鏈,鮮有企業(yè)能夠打通垂直產(chǎn)業(yè)鏈,形成粉體、裸片、基板的
    的頭像 發(fā)表于 12-26 11:43 ?2024次閱讀
    陶瓷基板<b class='flag-5'>產(chǎn)業(yè)鏈</b>分布及工藝制作流程

    芯片產(chǎn)業(yè)鏈探秘

    近年來,隨著信息技術(shù)的不斷發(fā)展,光芯片作為光電子器件的重要組成部分,其蓬勃發(fā)展引起了廣泛關(guān)注。在這個(gè)充滿活力的產(chǎn)業(yè)中,原材料、外延片、有源光器件、無源光器件和光模塊等環(huán)節(jié)緊密相扣,構(gòu)成了個(gè)龐大而復(fù)雜的生態(tài)系統(tǒng)。本文借助
    的頭像 發(fā)表于 12-20 14:46 ?745次閱讀
    光<b class='flag-5'>芯片</b><b class='flag-5'>產(chǎn)業(yè)鏈</b>探秘

    華秋榮獲億邦動(dòng)力2023產(chǎn)業(yè)互聯(lián)網(wǎng)千峰獎(jiǎng),引領(lǐng)電子產(chǎn)業(yè)數(shù)字化變革

    專業(yè)投資者對(duì)華秋未來發(fā)展前景的持續(xù)看好。 華秋自2011年成立以來,直致力于為電子產(chǎn)業(yè)提供高效、高可靠和高性價(jià)比的數(shù)字化服務(wù)。公司以數(shù)字化賦能制造業(yè),變革傳統(tǒng)電子產(chǎn)業(yè)鏈服務(wù)模式,為行
    發(fā)表于 12-15 09:57

    華秋榮獲億邦動(dòng)力2023產(chǎn)業(yè)互聯(lián)網(wǎng)千峰獎(jiǎng)

    專業(yè)投資者對(duì)華秋未來發(fā)展前景的持續(xù)看好。 華秋自2011年成立以來,直致力于為電子產(chǎn)業(yè)提供高效、高可靠和高性價(jià)比的數(shù)字化服務(wù)。公司以數(shù)字化賦能制造業(yè),變革傳統(tǒng)電子產(chǎn)業(yè)鏈服務(wù)模式,為行
    發(fā)表于 12-15 09:53

    智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜

    智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿
    發(fā)表于 12-11 17:36 ?1次下載

    華秋榮獲2023中國產(chǎn)業(yè)數(shù)字化百強(qiáng)榜企業(yè)

    ”的新模式也愈發(fā)重要。 華秋,作為全球領(lǐng)先的產(chǎn)業(yè)數(shù)字化平臺(tái),自2011年成立以來,直秉承著“為電子產(chǎn)業(yè)增效降本”的企業(yè)使命,以數(shù)字化賦能制造業(yè),變革傳統(tǒng)電子
    發(fā)表于 12-04 10:01

    喜訊!華秋榮獲2023中國產(chǎn)業(yè)數(shù)字化百強(qiáng)榜企業(yè)

    ”的新模式也愈發(fā)重要。 華秋,作為全球領(lǐng)先的產(chǎn)業(yè)數(shù)字化平臺(tái),自2011年成立以來,直秉承著“為電子產(chǎn)業(yè)增效降本”的企業(yè)使命,以數(shù)字化賦能制造業(yè),變革傳統(tǒng)電子
    發(fā)表于 12-04 09:58

    文看懂汽車芯片產(chǎn)業(yè)鏈中車載MCU分類及應(yīng)用

    汽車芯片產(chǎn)業(yè)鏈中,上游般為基礎(chǔ)半導(dǎo)體材料(硅片、光刻膠、CMP拋光液等)、制造設(shè)備和晶圓制造流程(芯片 設(shè)計(jì)、晶圓代工和封裝檢測(cè));中游
    發(fā)表于 11-20 16:33 ?994次閱讀
    <b class='flag-5'>一</b>文看懂汽車<b class='flag-5'>芯片</b><b class='flag-5'>產(chǎn)業(yè)鏈</b>中車載MCU分類及應(yīng)用

    國產(chǎn)工業(yè)無線傳輸芯片企業(yè)磐啟微電子十年磨一劍

    過去的十年,是國內(nèi)半導(dǎo)體產(chǎn)業(yè)飛速發(fā)展的十年。眾多國產(chǎn)芯片公司在風(fēng)口上競相登臺(tái),博得無數(shù)鮮花和掌聲。
    的頭像 發(fā)表于 11-16 11:10 ?1447次閱讀
    <b class='flag-5'>國產(chǎn)</b>工業(yè)無線傳輸<b class='flag-5'>芯片</b>企業(yè)磐啟微電子十年<b class='flag-5'>磨一劍</b>

    文搞懂國產(chǎn)MEMS傳感器產(chǎn)業(yè)鏈

    全球代表性企業(yè)?MEMS在各領(lǐng)域的應(yīng)用情況如何? 本文內(nèi)容較多,可按如下目錄獲取對(duì)應(yīng)信息: 、MEMS簡介 二、MEMS傳感器分類及廠家和應(yīng)用 三、MEMS 行業(yè)發(fā)展歷程與3次產(chǎn)業(yè)浪潮 四、國產(chǎn)傳感器企業(yè)的主要布局區(qū)域 五、M
    的頭像 發(fā)表于 10-31 08:39 ?711次閱讀
    <b class='flag-5'>一</b>文搞懂<b class='flag-5'>國產(chǎn)</b>MEMS傳感器<b class='flag-5'>產(chǎn)業(yè)鏈</b>