0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

盤點(diǎn)2020年半導(dǎo)體產(chǎn)業(yè)十大先鋒技術(shù)

ss ? 來源:OFweek電子工程網(wǎng) ? 作者:OFweek電子工程網(wǎng) ? 2020-12-31 18:06 ? 次閱讀

2020年即將結(jié)束,半導(dǎo)體產(chǎn)業(yè)今年都有哪些亮眼的成績呢?今天我們就來盤點(diǎn)一下今年半導(dǎo)體產(chǎn)業(yè)十大先鋒技術(shù),一起來看看都有誰上榜:

臺積電2nm工藝研發(fā)突破,或采用環(huán)繞柵極晶體管技術(shù)

9月,產(chǎn)業(yè)鏈消息,臺積電2nm工藝的研發(fā)進(jìn)展超出預(yù)期,甚至快于原計劃。

2nm已極度接近當(dāng)前半導(dǎo)體產(chǎn)業(yè)所采用工藝所能達(dá)到的極限,此前,在8月底舉辦臺積電第26屆技術(shù)研討會上,臺積電確認(rèn)了其5nm工藝將在明年推出N5P增強(qiáng)版,更先進(jìn)的3nm、4nm也一并被公布。此外,臺積電還正式宣布建設(shè)新的研發(fā)中心,預(yù)計將投入8000多名工程師的人力到一條先進(jìn)工藝生產(chǎn)線上,著力攻克2nm工藝。

據(jù)消息人士透露,臺積電的2nm工藝不會繼續(xù)采用成熟的鰭式場效應(yīng)晶體管技術(shù)(FinFET),而會采用環(huán)繞柵極晶體管技術(shù)(GAA)。

在3nm工藝節(jié)點(diǎn)上,臺積電選擇了采用FinFET而放棄了GAA,三星卻在3nm工藝上一直堅持著GAA路線,臺積電率先來到2nm工藝路口,其作出的選擇也基本意味著接下來半導(dǎo)體行業(yè)整體的到前進(jìn)方向。

晶體管技術(shù)的演化與半導(dǎo)體產(chǎn)業(yè)發(fā)展進(jìn)程息息相關(guān),晶體管中一個重要影響因素就是場效應(yīng),是指通過施加一個電場來實(shí)現(xiàn)對電流的控制,所以也就有了場效應(yīng)晶體管(Field-Effect Transistor,F(xiàn)ET)一說。

FinFET就是源自于場效應(yīng)晶體管,在FinFET的架構(gòu)中,閘門的形狀類似魚鰭的叉狀3D架構(gòu)。這種設(shè)計可大幅改善電路控制并減少漏電流,也可大幅縮短晶體管的柵長。

然而,芯片工藝節(jié)點(diǎn)發(fā)展到5nm之后,F(xiàn)inFET開始面臨一系列難題。隨著柵線之間間距的減小,以往在一個單元內(nèi)填充多個鰭線的做法已不再現(xiàn)實(shí),而柵線間距的減小還會導(dǎo)致FinFET的靜電問題大大加劇并直接制約晶體管性能的提升,F(xiàn)inFET的出現(xiàn)雖然突破了平面晶體管的短溝道效應(yīng)限制讓電壓得以降低,但在理想情況下溝道應(yīng)該被柵極完全包圍。因此,在5nm之后,業(yè)界迫切需要一個新的結(jié)構(gòu)來替代鰭式晶體管結(jié)構(gòu),這就帶來了全環(huán)繞柵極晶體管,也就是GAA。

然而,受限于摩爾定律等因素,采用GAA結(jié)構(gòu)也需要滿足一些條件,如:1、需要的生產(chǎn)工藝應(yīng)與FinFET相似,從而讓現(xiàn)有設(shè)備繼續(xù)發(fā)揮作用;2、應(yīng)實(shí)現(xiàn)對通道更好的控制,例如柵極與通道之前的接觸面積更大;3、帶來的寄生電容電阻問題應(yīng)得到顯著改善。

以臺積電2nm的研發(fā)進(jìn)度來看,預(yù)計臺積電2023年下半年可望進(jìn)入風(fēng)險性試產(chǎn),2024年正式量產(chǎn)。

編輯點(diǎn)評:臺積電已長期占據(jù)晶圓代工產(chǎn)業(yè)的制高點(diǎn),按目前的進(jìn)展看,它還將保持相應(yīng)優(yōu)勢至少好幾年。2nm之后,業(yè)界就面臨著在商業(yè)而不是科研領(lǐng)域讓挑戰(zhàn)傳說中的1nm硅材料技術(shù)極限的重大考驗(yàn)。這場大考將如何重塑產(chǎn)業(yè)生態(tài)呢?目前我們還難以預(yù)判。但對于中國大陸而言,最領(lǐng)先的企業(yè)中芯國際,本就是一個技術(shù)水平相比行業(yè)領(lǐng)先者落后好幾代的追趕者,在美國「實(shí)體清單」制裁的陰影下,這場大考,是會給中國大陸相關(guān)企業(yè)帶來「彎道超車」的機(jī)遇呢?還是進(jìn)一步拉大與行業(yè)領(lǐng)先者的距離呢?

植入式芯片的未來:馬斯克展示“活豬注入芯片”

今年8月29日,“鋼鐵俠”馬斯克又完成了一項常人難以完成的“壯舉”,他在15萬直播用戶的圍觀下,通過無線裝置連接植入豬大腦中的芯片,實(shí)時監(jiān)控豬的大腦活動。

腦機(jī)接口公司Neuralink朝一只名叫格特魯?shù)碌男∝i身上被植入了Link V0.9,當(dāng)馬斯克撫摸格特魯?shù)碌谋亲訒r,在電腦上呈現(xiàn)了數(shù)據(jù)化的信息狀態(tài),當(dāng)格特魯?shù)聡@著一支筆嗅來嗅去時,同樣可以看到設(shè)備傳輸出來的數(shù)據(jù),顯示小豬腦部活動情況。這背后所蘊(yùn)含的技術(shù),是通過讓大腦和計算機(jī)之間建立數(shù)字連接,從而產(chǎn)生相應(yīng)的電極信號并讓小豬大腦活動可視化呈現(xiàn)。

重點(diǎn)在于,植入腦機(jī)接口手術(shù)的小豬與未植入設(shè)備的豬沒有什么兩樣。而植入式芯片Link V0.9大小約為一枚硬幣,直徑23mm,厚度8mm,可采集傳輸上千(1024個)通道的神經(jīng)放電信號,擁有全天續(xù)航能力,支持遠(yuǎn)程數(shù)據(jù)傳輸,可感知溫度、壓力等數(shù)據(jù)。其植入方式與傳統(tǒng)的醫(yī)院手術(shù)開刀不同,Link V0.9的植入全程由自動化機(jī)器人完成,無需麻醉,耗時不到一小時。

據(jù)Neuralink介紹,他們最初希望利用這項技術(shù)幫助癱瘓患者控制自己的電腦和智能手機(jī)。下一步,該技術(shù)可以用于治療腦疾病患者,如帕金森氏癥,以及應(yīng)對大腦和脊髓損傷或治療自閉癥和肌萎縮性側(cè)索硬化癥(ASL)等疾病。

編輯點(diǎn)評:目前,人們已經(jīng)看到了植入芯片帶來的科技價值,但最重要的是,人們自身愿不愿意接受體內(nèi)植入芯片?周圍一起生活的人又能否接受植入芯片的人?另一方面,即使很多植入人體的芯片都經(jīng)過了嚴(yán)格的組織相容性實(shí)驗(yàn),對材料有特殊的要求,但依然不能保證百分之百的安全。到底芯片植入大腦,是不是解決部分腦部相關(guān)疾病的最佳手段,或許要等到馬斯克下一階段的實(shí)驗(yàn)才能見得分曉。

ASML 研發(fā)下一代 EUV ***:分辨率提升70% 逼近 1nm 極限

像臺積電在晶圓代工領(lǐng)域的地位,在***領(lǐng)域,荷蘭ASML公司也占據(jù)了行業(yè)鰲頭。

進(jìn)一步的,在當(dāng)前市場上最先進(jìn)的EUV***領(lǐng)域,ASML更是獨(dú)上了這塊細(xì)分市場。2019年,ASML出貨26臺EUV***,為半導(dǎo)體產(chǎn)業(yè)探索更先進(jìn)制程工藝量產(chǎn)的行動提供了強(qiáng)有力后盾。

3月,據(jù)媒體報道,ASML公司正在研發(fā)新一代EUV***,預(yù)計將在2022年開始出貨。據(jù)ASML此前公布的報告,在2019年出貨26臺EUV***的基礎(chǔ)上,預(yù)計2020年將交付35臺,2021年則會達(dá)到45-50臺的交付量,是2019年的兩倍左右。

ASML出貨的EUV***主要還是以NXE:3400B及改進(jìn)型的NXE:3400C為主,兩者基本結(jié)構(gòu)相同,但NXE:3400C采用模塊化設(shè)計,維護(hù)更加便捷,平均維修時間將從48小時縮短到8-10小時,支持7nm、5nm。

此外,NXE:3400C的產(chǎn)能也從之前的125WPH(每小時處理晶圓數(shù))提升到了175WPH。

不論NXE:3400B還是NXE:3400C,都還是第一代EUV***,主要特點(diǎn)是物鏡系統(tǒng)的NA(數(shù)值孔徑)為0.33。

ASML披露他們在研發(fā)新一代EUV***EXE:5000系列,NA指標(biāo)達(dá)到了0.55,主要合作伙伴是卡爾蔡司、IMEC比利時微電子中心。與之前的***相比,新一代***意味著分辨率提升了70%左右,可以進(jìn)一步提升***的精度,畢竟ASML之前的目標(biāo)是瞄準(zhǔn)了2nm甚至極限的1nm工藝的。

編輯點(diǎn)評:新一代EUV***預(yù)計至少到2022年才能出貨,大規(guī)模出貨要到2024年甚至2025年,屆時,臺積電和三星按預(yù)期也應(yīng)該在推進(jìn)3nm及以下制程工藝的生產(chǎn)了。

半導(dǎo)體產(chǎn)業(yè)鏈很長,但每一項巨大進(jìn)步背后都是產(chǎn)業(yè)鏈各環(huán)節(jié)大量小進(jìn)步積累的結(jié)果。***是半導(dǎo)體產(chǎn)業(yè)非常重要且關(guān)鍵的一個環(huán)節(jié),它的進(jìn)步對于整個產(chǎn)業(yè)整體的進(jìn)步非常重要。

全國首臺億級神經(jīng)元類腦計算機(jī)發(fā)布

9月1日,浙江大學(xué)聯(lián)合之江實(shí)驗(yàn)室在杭州發(fā)布一款包含1.2億脈沖神經(jīng)元、近千億神經(jīng)突觸的類腦計算機(jī)Darwin Mouse。

這是我國第一臺基于自主知識產(chǎn)權(quán)類腦芯片的類腦計算機(jī),該計算機(jī)使用了792顆由浙江大學(xué)研制的“達(dá)爾文二代”類腦芯片,支持1.2億脈沖神經(jīng)元、近千億神經(jīng)突觸,神經(jīng)元數(shù)量規(guī)模相當(dāng)于小鼠大腦,典型運(yùn)行功耗只需要350-500瓦。值得一提的是,Darwin Mouse也是目前國際上神經(jīng)元規(guī)模最大的類腦計算機(jī)。

研究團(tuán)隊還針對類腦計算機(jī)研發(fā)出了專用的操作系統(tǒng)——達(dá)爾文類腦操作系統(tǒng)(DarwinOS),實(shí)現(xiàn)對類腦計算機(jī)硬件資源的有效管理與調(diào)度,支撐類腦計算機(jī)的運(yùn)行與應(yīng)用。

這臺類腦計算機(jī)展示了統(tǒng)一調(diào)度多個機(jī)器人抗洪搶險場景下的協(xié)同工作。3臺機(jī)器人可分別承擔(dān)巡邏、搶險、營救任務(wù)。還展示了聽歌識曲、記憶語音、意念打字等功能。

編輯點(diǎn)評:由于類腦芯片巨大的發(fā)展?jié)摿蛷V闊的市場前景,類腦智能技術(shù)已成為各國科技戰(zhàn)略重點(diǎn)和力推的核心科技發(fā)展領(lǐng)域。隨著美日德英等發(fā)達(dá)國家類腦研究發(fā)展戰(zhàn)略的出臺,中國的類腦科學(xué)研究項目也已經(jīng)正式啟動。但是類腦研究需要基于對人類大腦的深入認(rèn)知,雖然近10年來,人們對這個大腦這個神秘器官的認(rèn)知迅速增長,但大腦的終極奧秘依然是一個謎團(tuán)。

總而言之,盡管當(dāng)前類腦芯片無論在規(guī)模還是智力上與真實(shí)的人腦仍存在很大差距,但是它也具備人腦無法企及的優(yōu)勢。如今,全世界類腦科學(xué)研究的新賽道已經(jīng)形成,相信接下來會涌現(xiàn)出不少顛覆性理論和革命性技術(shù)成果。

英國研發(fā)新一代革命性內(nèi)存技術(shù):10ns延遲、功耗僅有1%

2020年1月下旬消息,美光、三星等公司年內(nèi)會推出新一代的DDR5內(nèi)存,最高速率可達(dá)6400Mbps,將逐步取代DDR4內(nèi)存。

然而,盡管現(xiàn)今的DRAM內(nèi)存技術(shù)一直在做技術(shù)升級,但其技術(shù)瓶頸也日益顯現(xiàn)。

科研人員正在尋找新的替代性內(nèi)存技術(shù),英國科研人員的這種研究成果,或許將是內(nèi)存產(chǎn)業(yè)的未來新方向——延遲可低至10ns,功耗僅為現(xiàn)有技術(shù)的1%。

業(yè)界一直在尋求完美的「內(nèi)存」芯片——低延遲、高帶寬、功耗低、容量大,成本低,同時,不能因斷電而損失數(shù)據(jù)……Intel的傲騰內(nèi)存基于PCM相變內(nèi)存技術(shù),在可靠性、延遲等方面已大幅領(lǐng)先現(xiàn)今的閃存,更接近DRAM內(nèi)存芯片了,但超越內(nèi)存還達(dá)不到。

據(jù)外媒報道,英國的研究人員找到了一種新型的「內(nèi)存」,使用的是III-V族材料,主要是InAs砷化銦和AlSb銻化鋁,制成的NVDRAM非易失性內(nèi)存具備優(yōu)秀的特性,在同樣的性能下開關(guān)能量低了100倍——即功耗只有現(xiàn)有DRAM內(nèi)存的1%,同時,其延遲可低至10ns。

編輯點(diǎn)評:這種新型材料制成的內(nèi)存芯片宣稱具備三大特性——超低功耗、寫入不破壞數(shù)據(jù)、非易失性。雖然其性能相比現(xiàn)今DRAM內(nèi)存并沒多大提升,10ns級延遲也與DDR4內(nèi)存差不多,但三大特性,尤其是非易失性,即足以掀起「內(nèi)存」革命了。

當(dāng)然,作為理論性的科研,英國研發(fā)人員暫時還只是找到了新一代III-V材料內(nèi)存的理論方向,真正大規(guī)模商業(yè)生產(chǎn)的時候還難以預(yù)見,甚至最終是否會是這種技術(shù)打敗其他技術(shù)實(shí)現(xiàn)落地量產(chǎn)也不一定……

超級存儲?新技術(shù)讓存儲芯片容量提高上千倍

讓存儲芯片容量提高1000倍的超級存儲技術(shù)要來了?

8月,韓國技術(shù)信息部宣布,該國UNIST 能源與化學(xué)工程學(xué)院李俊熙教授帶領(lǐng)的研究團(tuán)隊,提出了一種新的物理現(xiàn)象,利用FRAM(鐵電體存儲器)技術(shù),可以替代當(dāng)前主流的DRAM或NAND閃存,有望將指甲大小的存儲芯片存儲容量提高1000倍。

據(jù)介紹,F(xiàn)RAM技術(shù)通過極化現(xiàn)象來存儲信息,其中電偶極子(如鐵電內(nèi)部的NS磁場)被外部電場對準(zhǔn)。通過向鐵電體物質(zhì)氧化鉿(HfO2)中施加3-4V的電壓,可以讓原子間的力量斷裂,每個原子都可以自由移動,從而可以控制四個單獨(dú)的原子來存儲1位數(shù)據(jù)?,F(xiàn)有的存儲技術(shù)研究顯示,最多只能在數(shù)千個原子的組中存儲1位數(shù)據(jù)。因此,通過FRAM技術(shù)可以讓半導(dǎo)體存儲器存儲容量達(dá)到500 Tbit/cm2,是當(dāng)前可用閃存芯片的上千倍。理論上,線幅也可被縮小至0.5nm。

李俊熙教授表示:「在原子中儲存信息的技術(shù),在不分裂原子的情況下成為半導(dǎo)體產(chǎn)業(yè)終極儲存技術(shù)的幾率很高?!闺m然還處在實(shí)驗(yàn)室階段,但這項研究也普遍被業(yè)界看好,最大的原因在于FRAM是當(dāng)前已經(jīng)存在的半導(dǎo)體材料,被認(rèn)為商用化的可能性非常高。

資料顯示,F(xiàn)RAM中的F即Ferromagnetic,F(xiàn)RAM即「鐵電體隨機(jī)存取存儲器」。

FRAM實(shí)現(xiàn)數(shù)據(jù)存儲的原理是利用鐵電晶體的鐵電效應(yīng),「鐵電效應(yīng)」指,在鐵電晶體上施加一定的電場時,晶體中心原子在電場的作用下運(yùn)動,并達(dá)到一種穩(wěn)定狀態(tài)。當(dāng)電場從晶體移走后,中心原子會保持在原來的位置。由于晶體的中間層是一個高能階,中心原子在沒有獲得外部能量時不能越過高能階到達(dá)另一穩(wěn)定位置,因此FRAM保持?jǐn)?shù)據(jù)不需要電壓,也不需要像DRAM一樣周期性刷新。

編輯點(diǎn)評:隨著市場需求的增加,用戶也對企業(yè)級存儲系統(tǒng)的訪問性能、存儲協(xié)議、管理平臺、存儲介質(zhì)以及其他各種應(yīng)用配置提出了更高的要求。尤其是以云計算、大數(shù)據(jù)為主要業(yè)務(wù)的企業(yè),在存儲芯片、設(shè)備、系統(tǒng)等方面將迎來更多的選擇。

超級存儲技術(shù)區(qū)別于傳統(tǒng)的閃存或DRAM,預(yù)計將更多的被用在移動計算、航天航空、軍事應(yīng)用、企業(yè)系統(tǒng)、汽車行業(yè)、物聯(lián)網(wǎng)以及工業(yè)市場等?;诔壌鎯夹g(shù),最普通的設(shè)備也有望達(dá)到T級別的數(shù)據(jù)容量,大大方便人們的存儲需求。

與此同時,超級存儲技術(shù)還涉及到存儲和數(shù)據(jù)容災(zāi)、虛擬化、數(shù)據(jù)/安全/壓縮、重復(fù)數(shù)據(jù)刪除、自動精簡配置等功能特性,這些方面完善和優(yōu)化都需要占用不少能耗資源,如何在滿足超大存儲的同時,實(shí)現(xiàn)低功耗、多功能等特性,是存儲產(chǎn)業(yè)的重要努力方向。

Nature:芯片散熱技術(shù)重大創(chuàng)新,冷卻性能增加 50 倍

芯片散熱問題在一定程度上能夠反映出芯片的能耗水平,高速的運(yùn)算產(chǎn)生的熱量如果無法及時散發(fā)出去,就會對芯片性能造成嚴(yán)重影響。電腦中可以為CPU配備一個小風(fēng)扇進(jìn)行散熱的,但是在5G行業(yè),傳統(tǒng)數(shù)據(jù)中心需要將30%至40%的能耗花費(fèi)在冷卻散熱上,要消耗大量的能源和水資源。如何解決芯片散熱問題成為了業(yè)界廣為關(guān)注的話題。

(截圖自Nature)

今年9月9日,瑞士洛桑聯(lián)邦理工學(xué)院的 Elison Matioli 教授及其研究團(tuán)隊在Nature上發(fā)表了一項最新研究成果,在芯片冷卻技術(shù)方面實(shí)現(xiàn)了新突破。研究人員使用微流體電子協(xié)同設(shè)計方案,在同一半導(dǎo)體的襯底內(nèi)將微流體和電子元器件進(jìn)行協(xié)同設(shè)計,生產(chǎn)出一個單片集成的歧管微通道冷卻結(jié)構(gòu),可以有效地管理晶體管產(chǎn)生的大熱通量。

研究人員開始探索使用冷卻劑與設(shè)備直接接觸的方式,來實(shí)現(xiàn)更高的冷卻性能。他們提出在具有外延層的單晶硅襯底上設(shè)計的單片集成的多歧管微通道(mMMC)散熱器。由于器件的設(shè)計和散熱器的制造是在同一過程中結(jié)合在一起,冷卻通道直接嵌入在芯片的有效區(qū)域下方。因此冷卻劑可以直接撞擊熱源,提供局部和有效的散熱。

結(jié)果顯示,該冷卻結(jié)構(gòu)僅使用 0.57 瓦/平方厘米的泵送功率,就可以輸送超過 1.7 千瓦/平方厘米的熱通量,其冷卻效果超出當(dāng)前所使用的結(jié)構(gòu)的效果。

編輯點(diǎn)評:近年來,研究人員開始探索將液體冷卻模塊直接嵌入芯片內(nèi)部,以實(shí)現(xiàn)更加高效的制冷效果的新技術(shù),但這一技術(shù)仍未解決電子設(shè)備和冷卻系統(tǒng)分開處理的困境,從而無法發(fā)揮嵌入式冷卻系統(tǒng)的全部節(jié)能潛力。而mMMC這種冷卻技術(shù)能夠設(shè)計出更加緊密的電子設(shè)備,并大大減少全球因系統(tǒng)冷卻而消耗的能源。顯然,這項設(shè)計可以直接去除當(dāng)前數(shù)據(jù)中心對于大型外部散熱器的需求。

首次突破1開爾文!Intel掌握「熱」量子計算機(jī)技術(shù)

4月,Intel與其合作伙伴QuTech在權(quán)威學(xué)術(shù)雜志《自然》上發(fā)布了一項全新的研究成果——「熱」量子計算機(jī)技術(shù)。

該技術(shù)據(jù)稱可在溫度大于1開爾文的情況下,成功控制「熱」量子計算的基本單位。

提高量子計算的工作溫度對于將其擴(kuò)展應(yīng)用到更多的應(yīng)用領(lǐng)域非常重要。

量子比特對應(yīng)經(jīng)典的計算比特,可以通過超導(dǎo)電路實(shí)現(xiàn)或在半導(dǎo)體(比如硅)內(nèi)形成。存儲在這種量子比特中的信息通常會很快丟失,因?yàn)闊崃慨a(chǎn)生的振動會干擾量子比特,進(jìn)而影響性能,要解決這種問題,就需要在接近絕對0度的環(huán)境下進(jìn)行,要創(chuàng)造這種環(huán)境,需要投入大量費(fèi)用用于制冷。

Intel成功實(shí)現(xiàn)了在1.1開爾文溫度的「熱」環(huán)境下運(yùn)行量子電路的成就,在運(yùn)行溫度上的要求比以往更寬松,更易實(shí)現(xiàn)。

據(jù)悉,這項研究把限制在硅中的電子自旋作為量子比特,并與周圍能在超過1開爾文溫度下正常運(yùn)作的材料很好地隔離開來。在這個溫度下,可以引入定域電子來操控量子比特,研究人員認(rèn)為,這是將這類量子處理器擴(kuò)展至百萬量子比特的先決條件。

盡管此次升溫幅度不大,但這是量子計算機(jī)技術(shù)一個重要的里程碑,因?yàn)闇囟忍嵘?開爾文以上后,搭建平臺的成本將大幅降低,這有助于量子計算機(jī)技術(shù)的進(jìn)一步研發(fā)普及。

據(jù)悉,Intel的這項研究建立在推進(jìn)全棧量子系統(tǒng)開發(fā)方向持續(xù)工作的基礎(chǔ)上,包括去年年底推出的首款馬脊低溫量子控制芯片。

編輯點(diǎn)評:今年12月,中科大宣布,該校潘建偉等人成功構(gòu)建了76個光子的量子計算原型機(jī)「九章」,求解數(shù)學(xué)算法高斯玻色取樣(Gaussian Boson Sampling)只需200秒。2019年9月,Google懸鈴木量子計算機(jī)的實(shí)現(xiàn)「量子優(yōu)越性」的消息也早已傳開。

量子計算的種種美好前景,需要更多企業(yè)、機(jī)構(gòu)參與進(jìn)來,一步步克服各種困難,才有希望實(shí)現(xiàn)。

芯片上的“大腦”:麻省理工人工大腦突觸研發(fā)新進(jìn)展

今年6月,麻省理工學(xué)院(MIT)的研究人員發(fā)表了關(guān)于新型人工大腦神經(jīng)突觸的論文,其中提到與目前已有的人工突觸相比,這種突觸在性能上有很大提升,它的物理體積比一片紙屑還小,卻可以容納數(shù)以萬計的硅基元件。在體積小且節(jié)能的同時,新型芯片有助于研究人員開發(fā)出能夠在不連接數(shù)據(jù)中心的情況下,也可以執(zhí)行復(fù)雜AI計算任務(wù)的設(shè)備。

在研究中展示了一種新穎的“憶阻器”(Memristors)設(shè)計,其本質(zhì)上是用硅來模擬大腦的信息傳輸突觸,但也可以用銀和銅的合金。這種芯片能夠有效地“記住”并重現(xiàn)出非常詳細(xì)的圖像,與已有的同類型技術(shù)相比,它能“記住”的圖像更加清晰和詳細(xì)。

據(jù)悉,MIT研發(fā)的芯片,其包含的憶阻元件在表示信息時更像是大腦,也就是最原始的模擬計算機(jī)。大腦和計算機(jī)在處理和表達(dá)信息時雖然有相似之處,但有些神經(jīng)元的信息輸出是通過分級的電信號傳輸?shù)?,其大小可以連續(xù)變化,可以比晶體管采用的數(shù)字信號傳輸出更多的信息。憶阻元件還可以記住特定的狀態(tài),之后在多次接收相同的輸入電流時,很輕易就能重現(xiàn)相同的信號。

此外,冶金學(xué)也為研發(fā)團(tuán)隊提供了不少靈感。在冶金學(xué)中,當(dāng)冶金學(xué)家想改變一種金屬的性能時,他們會把它與另一種具有所需性能的金屬結(jié)合,制造出一種合金。受此啟發(fā),研究人員也發(fā)現(xiàn)一種可以與被用來作為憶阻器正極的銀相結(jié)合的元素,從而使其能夠更穩(wěn)定地沿著非常薄的傳導(dǎo)通道傳遞離子。這就是芯片研發(fā)的關(guān)鍵所在。

不僅能夠倚靠“記憶”準(zhǔn)確地重現(xiàn)圖像,也可以執(zhí)行推斷任務(wù),比如基于命令提高或模糊原始圖像,這塊芯片的表現(xiàn)性能要遠(yuǎn)遠(yuǎn)優(yōu)于之前研發(fā)出的其他記憶電阻器。

編輯點(diǎn)評:雖然新型人工突觸的研發(fā)還有很長的路要走,但是MIT的研究必定會促進(jìn)便攜式人工大腦計算機(jī)的出現(xiàn)。人工大腦計算機(jī)最大的好處就在于,可以像目前的超級計算機(jī)一樣執(zhí)行非常復(fù)雜的任務(wù),但是卻不需要任何網(wǎng)絡(luò)連接。

中科院低維半導(dǎo)體技術(shù):納米畫筆“畫”芯片

今年3月,中科院宣布研發(fā)出了一種簡單的制備低維半導(dǎo)體器件的方法——用“納米”勾勒未來光電子器件,它可以“畫出”各種需要的芯片。

中科院表示,可預(yù)期的未來,需要在更小的面積集成更多的電子元件。針對這種需求,厚度僅有0.3至幾納米(頭發(fā)絲直徑幾萬分之一)的低維材料應(yīng)運(yùn)而生。

這類材料可以比作超薄的紙張,只是比紙薄很多,可以用于制備納米級別厚度的電子器件。由于二維材料如同薄薄的一張紙,它的性質(zhì)很容易受到環(huán)境影響。利用這一特性,研究人員在二維材料表面覆蓋一層鐵電薄膜,使用納米探針施加電壓在鐵電材料表面掃描,通過改變對應(yīng)位置鐵電材料的性質(zhì)來實(shí)現(xiàn)對二維材料性質(zhì)的精準(zhǔn)操控。

當(dāng)設(shè)計好器件功能后,科研人員只需發(fā)揮想象,使用納米探針“畫筆”在鐵電薄膜“畫布”上畫出各種各樣的電子器件圖案,利用鐵電薄膜對低維半導(dǎo)體材料物理性質(zhì)的影響,就能制成所需的器件。

實(shí)驗(yàn)中,“畫筆”是原子力顯微鏡的納米探針,相當(dāng)于傳統(tǒng)晶體管的柵電極,可以用來加正電壓或負(fù)電壓。但不同于傳統(tǒng)柵電極,原子力顯微鏡的針尖可以任意移動,在水平空間上可以精確“畫出”納米尺度的器件。

在這個過程中,研究人員通過控制加在針尖上電壓的正負(fù)性,就能輕易構(gòu)建各種電子和光子器件,比如存儲器、光探測器、光伏電池等等。

據(jù)悉,本研究由中國科學(xué)院上海技術(shù)物理研究所與復(fù)旦大學(xué)、華東師范大學(xué)、南京大學(xué),中國科學(xué)院微電子研究所等多個課題組合作完成。研究成果已于2020年1月24日發(fā)表于《自然-電子學(xué)》。

編輯點(diǎn)評:“神筆馬良”的故事想必大家都聽過,如今隨著技術(shù)的發(fā)展,人們對半導(dǎo)體技術(shù)的要求越來越高,但是半導(dǎo)體制造難度卻是越來越大,10nm以下的工藝極其燒錢,芯片制造若是迎來這根“神筆”,或許也是一大福音。

責(zé)任編輯:xj

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49985

    瀏覽量

    419670
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26647

    瀏覽量

    212780
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4779

    瀏覽量

    127579
收藏 人收藏

    評論

    相關(guān)推薦

    國內(nèi)半導(dǎo)體封裝測試企業(yè)盤點(diǎn),長電華潤微萬芯在列

    的浪潮中,封裝測試環(huán)節(jié)作為產(chǎn)業(yè)重要的組成部分,發(fā)揮著關(guān)鍵作用。下面為大家詳細(xì)盤點(diǎn)國內(nèi)一些知名的半導(dǎo)體封裝測試企業(yè)。長電科技:長電科技在全球集成電路前十大封測廠中排名第
    的頭像 發(fā)表于 08-26 11:33 ?1382次閱讀
    國內(nèi)<b class='flag-5'>半導(dǎo)體</b>封裝測試企業(yè)<b class='flag-5'>盤點(diǎn)</b>,長電華潤微萬<b class='flag-5'>年</b>芯在列

    中國半導(dǎo)體產(chǎn)業(yè)十大技術(shù)“瓶頸”解析

    半導(dǎo)體技術(shù)是現(xiàn)代電子科技的核心,它的發(fā)展水平直接體現(xiàn)了一個國家的科技實(shí)力。近年來,我國半導(dǎo)體產(chǎn)業(yè)雖然取得了長足進(jìn)步,但仍有一些核心技術(shù)尚未完
    的頭像 發(fā)表于 06-06 10:09 ?1459次閱讀
    中國<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>產(chǎn)業(yè)</b>的<b class='flag-5'>十大</b><b class='flag-5'>技術(shù)</b>“瓶頸”解析

    喜訊 | MDD辰達(dá)半導(dǎo)體榮獲藍(lán)點(diǎn)獎“最具投資價值獎”

    。 左二:MDD辰達(dá)半導(dǎo)體銷售總監(jiān) 作為深耕半導(dǎo)體分立器件領(lǐng)域16的專精企業(yè),MDD辰達(dá)半導(dǎo)體始終堅持技術(shù)驅(qū)動和客戶需求導(dǎo)向,致力于打造包
    發(fā)表于 05-30 10:41

    度亙核芯榮獲“2023度中國十大光學(xué)產(chǎn)業(yè)技術(shù)”獎

    5月18日,由光電匯主辦的“2023中國十大光學(xué)產(chǎn)業(yè)技術(shù)”年度評選頒獎典禮于武漢光谷科技會展中心隆重召開。經(jīng)專家評審、網(wǎng)絡(luò)投票等嚴(yán)格評選,度亙核芯“用于車載激光雷達(dá)的940nm芯片與
    的頭像 發(fā)表于 05-23 08:28 ?500次閱讀
    度亙核芯榮獲“2023<b class='flag-5'>年</b>度中國<b class='flag-5'>十大</b>光學(xué)<b class='flag-5'>產(chǎn)業(yè)</b><b class='flag-5'>技術(shù)</b>”獎

    全球十大IC設(shè)計巨頭營收增長12%,英偉達(dá)引領(lǐng)產(chǎn)業(yè)繁榮

     5月10日,集邦咨詢發(fā)布產(chǎn)業(yè)報告指出,預(yù)計2023全球前十大IC設(shè)計業(yè)者營收總和約為1676億美元,同比上升12%。值得注意的是,英偉達(dá)以105%的營收同比增長率引領(lǐng)整個行業(yè),博通、上海韋爾
    的頭像 發(fā)表于 05-10 11:31 ?411次閱讀

    十大半導(dǎo)體技術(shù)將徹底改變電子制造

    本文由半導(dǎo)體產(chǎn)業(yè)縱橫(ID:ICVIEWS)編譯自bisinfotech半導(dǎo)體創(chuàng)新正在塑造技術(shù)的未來。在當(dāng)今快節(jié)奏的數(shù)字時代,半導(dǎo)體
    的頭像 發(fā)表于 04-26 08:27 ?288次閱讀
    <b class='flag-5'>十大半導(dǎo)體</b><b class='flag-5'>技術(shù)</b>將徹底改變電子制造

    2024十大半導(dǎo)體發(fā)展趨勢

    。為了實(shí)現(xiàn)這一目標(biāo),該行業(yè)正在采用最新技術(shù)來提高效率并滿足環(huán)境要求。從最近這些的發(fā)展情況來看,2024全球半導(dǎo)體業(yè)將呈現(xiàn)以下發(fā)展趨
    的頭像 發(fā)表于 03-22 08:26 ?668次閱讀
    2024<b class='flag-5'>年</b><b class='flag-5'>十大半導(dǎo)體</b>發(fā)展趨勢

    2023年半導(dǎo)體行業(yè)收益超出預(yù)期,2024預(yù)期增長24%

    12日,知名分析機(jī)構(gòu)TechInsights公布最新數(shù)據(jù)顯示,2023第四季度半導(dǎo)體業(yè)務(wù)表現(xiàn)超出預(yù)期,收入較之前預(yù)估增加13%。同時,2023年半導(dǎo)體銷售出現(xiàn)9%的下滑(上一次更
    的頭像 發(fā)表于 03-13 10:21 ?582次閱讀

    并購、擴(kuò)產(chǎn)、合作——盤點(diǎn)2023全球第三代半導(dǎo)體行業(yè)十大事件

    產(chǎn)業(yè)也發(fā)生了不少的大事件,海外大廠并購、擴(kuò)產(chǎn),國內(nèi)產(chǎn)業(yè)鏈也獲得了大量突破性進(jìn)展。 ? 下面我們就來盤點(diǎn)一下2023全球碳化硅、氮化鎵產(chǎn)業(yè)發(fā)
    的頭像 發(fā)表于 02-18 00:03 ?3460次閱讀

    對2023年半導(dǎo)體銷量排名和市值的思考

    不過,考慮到英偉達(dá)最近的勢頭,在排名中,英偉達(dá)很可能成為威脅英特爾和三星的存在?;仡?023半導(dǎo)體市場,不能不談英偉達(dá)的進(jìn)步。這次,我想比較半導(dǎo)體銷售額排名前的公司的市值,并討論
    的頭像 發(fā)表于 01-30 15:34 ?736次閱讀
    對2023<b class='flag-5'>年半導(dǎo)體</b>銷量排名和市值的思考

    2023年半導(dǎo)體設(shè)備國產(chǎn)化成績單:亮點(diǎn)與期待

    和企業(yè)對半導(dǎo)體設(shè)備國產(chǎn)化的投入不斷加大,旨在打破國外技術(shù)壟斷,提升本土產(chǎn)業(yè)的自主創(chuàng)新能力和市場競爭力。本文將對2023年半導(dǎo)體設(shè)備國產(chǎn)化的進(jìn)展進(jìn)行深入分析,探討其
    的頭像 發(fā)表于 01-20 09:34 ?803次閱讀
    2023<b class='flag-5'>年半導(dǎo)體</b>設(shè)備國產(chǎn)化成績單:亮點(diǎn)與期待

    2023年半導(dǎo)體企業(yè)銷售業(yè)績排名

    該報告披露,前25強(qiáng)半導(dǎo)體企業(yè)名單與2022無異。其中,收入最高的十大半導(dǎo)體公司2023總計收入3578億美元,同比驟降9%;而其中營收增速最快的當(dāng)屬位于首位的臺積電,以
    的頭像 發(fā)表于 01-15 10:42 ?1074次閱讀
    2023<b class='flag-5'>年半導(dǎo)體</b>企業(yè)銷售業(yè)績排名

    Transphorm:氮化鎵應(yīng)用進(jìn)一步擴(kuò)展,2024年下半年半導(dǎo)體市場將回暖

    如何發(fā)展?為此,電子發(fā)燒友網(wǎng)策劃了《2024年半導(dǎo)體產(chǎn)業(yè)展望》專題,收到數(shù)位國內(nèi)外半導(dǎo)體創(chuàng)新領(lǐng)袖企業(yè)高管的前瞻觀點(diǎn)。其中,電子發(fā)燒友特別采訪了Transphorm總裁兼CEO,Pri
    發(fā)表于 12-27 11:18 ?668次閱讀
    Transphorm:氮化鎵應(yīng)用進(jìn)一步擴(kuò)展,2024<b class='flag-5'>年下半年半導(dǎo)體</b>市場將回暖

    2023年半導(dǎo)體企業(yè)相關(guān)收購案有哪些?

    2023半導(dǎo)體市場的逆風(fēng)周期仍未過去,企業(yè)收并購的腳步卻不曾停歇。根據(jù)公開的信息,《中國電子報》記者梳理了23樁半導(dǎo)體領(lǐng)域的國際收并購案例,發(fā)現(xiàn)2023全球
    的頭像 發(fā)表于 12-19 11:21 ?1266次閱讀
    2023<b class='flag-5'>年半導(dǎo)體</b>企業(yè)相關(guān)收購案有哪些?

    中科億海微提案成功入選2023十大產(chǎn)業(yè)技術(shù)問題

    )有限公司(簡稱“中科億海微”)提交的《如何發(fā)展面向高性能和低成本產(chǎn)業(yè)升級的自主可控SoC芯片》成功入選2023十大產(chǎn)業(yè)技術(shù)問題。今年共
    的頭像 發(fā)表于 10-26 08:12 ?458次閱讀
    中科億海微提案成功入選2023<b class='flag-5'>年</b>度<b class='flag-5'>十大</b><b class='flag-5'>產(chǎn)業(yè)</b><b class='flag-5'>技術(shù)</b>問題