0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通過小腳丫板載的兩個(gè)數(shù)碼管來顯示字符

電子森林 ? 來源:FPGA入門到精通 ? 作者:FPGA入門到精通 ? 2021-01-06 17:17 ? 次閱讀
今天我們?nèi)蝿?wù)是通過小腳丫板載的兩個(gè)數(shù)碼管來顯示字符,所以首先我們要了解一下數(shù)碼管的基本工作原理,接下來再研究怎么通過搗鼓小腳丫把數(shù)碼管給點(diǎn)亮,并且顯示出有效信息。

小腳丫板載的數(shù)碼管是7段數(shù)碼管(如果包括右下的小點(diǎn)可以認(rèn)為是8段),它分別由a、b、c、de、f、g位段和表示小數(shù)點(diǎn)的dp位段組成,見圖1。

ffad0330-4628-11eb-8b86-12bb97331649.png圖1 7段數(shù)碼管

數(shù)碼管的各個(gè)段位是由LED燈組成的,控制每個(gè)LED的點(diǎn)亮或熄滅實(shí)現(xiàn)數(shù)字顯示。通常數(shù)碼管分為共陽極數(shù)碼管和共陰極數(shù)碼管,結(jié)構(gòu)如圖所示。共陰8段數(shù)碼管的信號(hào)端低電平有效,而共陽端接高電平有效。比如,使共陽端數(shù)碼管的a段發(fā)光,則在a段信號(hào)端加上低電平即可。共陰極的數(shù)碼管則相反。ffca9cba-4628-11eb-8b86-12bb97331649.png000002d8-4629-11eb-8b86-12bb97331649.png ? ?

小腳丫的板載數(shù)碼管為7段共陰極數(shù)碼管,可以顯示數(shù)字0-9以及字母A-F共計(jì)16種選擇。因此,如果我們需要數(shù)碼管能顯示所有的16種選擇,需要至少4位輸入碼(24=16)。表1列出了各個(gè)數(shù)碼管字符所對(duì)應(yīng)的LED燈段位。

1各數(shù)碼管字符所對(duì)應(yīng)的LED燈段位

003c3a96-4629-11eb-8b86-12bb97331649.png

2標(biāo)注了小腳丫板載的兩個(gè)數(shù)碼管的各LED段位以及小腳丫上所對(duì)應(yīng)的引腳。其中SEG_DIP為公共端,因此在共陰極數(shù)碼管的設(shè)計(jì)中需要接低電平??梢钥闯?,每一個(gè)數(shù)碼管都含有9個(gè)引腳,因此每一個(gè)字符的顯示都需要由一個(gè)9位的輸出信號(hào)來實(shí)現(xiàn)。

00622f94-4629-11eb-8b86-12bb97331649.png

2

接下來我們通過Verilog實(shí)現(xiàn)對(duì)數(shù)碼管的控制。其中,我們將模塊的輸出定義為兩個(gè)7段數(shù)碼管,每個(gè)數(shù)碼管有9個(gè)引腳,分別由9位信號(hào)控制。輸入端由4路信號(hào)控制,可以分別對(duì)應(yīng)0-F等十六個(gè)字符選擇。
module segment   (    input  wire[3:0] seg_data_1,      //四位輸入數(shù)據(jù)信號(hào),可通過4個(gè)撥碼開關(guān)控制    input  wire[3:0] seg_data_2,      //四位輸入數(shù)據(jù)信號(hào),可通過4個(gè)按鍵開關(guān)控制    output wire[8:0] segment_led_1,   //數(shù)碼管1,MSB~LSB =SEG,DP,G,F,E,D,C,B,A    output wire[8:0] segment_led_2    //數(shù)碼管2,MSB~LSB =SEG,DP,G,F,E,D,C,B,A   );
   reg[8:0] seg [15:0];                //存儲(chǔ)7段數(shù)碼管譯碼數(shù)據(jù)   initial  begin       seg[0]=9'h3f;   //  0       seg[1]=9'h06;   //  1       seg[2]=9'h5b;   //  2       seg[3]=9'h4f;   //  3       seg[4]=9'h66;   //  4       seg[5]=9'h6d;   //  5       seg[6]=9'h7d;   //  6       seg[7]=9'h07;   //  7       seg[8]=9'h7f;   //  8       seg[9]=9'h6f;   //  9       seg[10]=9'h77;   //  A       seg[11]=9'h7C;   //  b       seg[12]=9'h39;   //  C       seg[13]=9'h5e;   //  d       seg[14]=9'h79;   //  E       seg[15]=9'h71;   //  F  end   assign segment_led_1 = seg[seg_data_1];   // 將對(duì)應(yīng)字符導(dǎo)入數(shù)碼管1并顯示   assign segment_led_2 = seg[seg_data_2];   // 將對(duì)應(yīng)字符導(dǎo)入數(shù)碼管2并顯示endmodule

上述代碼是定義7段共陰極數(shù)碼管的通用模塊,可以保存起來作為一個(gè)獨(dú)立模塊。這樣,在以后遇到需要用數(shù)碼管顯示的實(shí)驗(yàn)時(shí)我們可以直接調(diào)用(之后我們還會(huì)講解如何調(diào)用子模塊),而不用再重新寫一遍代碼。

接下來是提問環(huán)節(jié):

當(dāng)我們指定用撥碼開關(guān)SW1-SW4來控制左邊數(shù)碼管,按鍵開關(guān)K1-K4來控制右側(cè)數(shù)碼管,此時(shí)如果開關(guān)的狀態(tài)分別如下圖所示,數(shù)碼管應(yīng)該顯示什么字符?

注:撥碼開關(guān)調(diào)至上方為1,下方為0。按鍵開關(guān)白色為1(松開),黑色為0(按下)。大家可以當(dāng)作課后練習(xí)來鞏固一下知識(shí),也歡迎在公眾號(hào)留言給出自己的答案。

而為了幫助更多老師快速上手FPGA的使用和教學(xué),在即將到來的寒假,我們針對(duì)高校從事教學(xué)/實(shí)驗(yàn)室一線工作的教師,推出一個(gè)為期5(2021年1月25日-1月29日)的FPGA設(shè)計(jì)及應(yīng)用快速培訓(xùn),通過實(shí)用性非常強(qiáng)的典型案例動(dòng)手實(shí)踐,幫助大家快速、有效地上手FPGA,并能夠在未來的教學(xué)、實(shí)踐、電賽中大顯身手,硬禾實(shí)戰(zhàn)營也將成為參加培訓(xùn)的老師們長期的教學(xué)后援。

責(zé)任編輯:xj

原文標(biāo)題:基于FPGA的數(shù)字電路實(shí)驗(yàn)3:點(diǎn)亮數(shù)碼管

文章出處:【微信公眾號(hào):FPGA入門到精通】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600687
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    32

    文章

    1873

    瀏覽量

    90751
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1590

    瀏覽量

    80308

原文標(biāo)題:基于FPGA的數(shù)字電路實(shí)驗(yàn)3:點(diǎn)亮數(shù)碼管

文章出處:【微信號(hào):xiaojiaoyafpga,微信公眾號(hào):電子森林】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    數(shù)碼管的使用方法和接線步驟

    數(shù)碼管的基本單元是發(fā)光二極(LED),通過控制不同LED的亮滅顯示數(shù)字和字符。根據(jù)段數(shù),
    的頭像 發(fā)表于 08-29 18:22 ?1021次閱讀

    簡(jiǎn)述led數(shù)碼管的類型和顯示原理

    LED數(shù)碼管 :這是最常見的LED數(shù)碼管類型,由七個(gè)LED發(fā)光體組成,可以顯示0-9的數(shù)字。 十四段LED數(shù)碼管 :在七段LED數(shù)碼管的基礎(chǔ)
    的頭像 發(fā)表于 08-29 09:11 ?371次閱讀

    led數(shù)碼管顯示器分哪種結(jié)構(gòu)類型

    LED數(shù)碼管顯示器是一種廣泛應(yīng)用于各種領(lǐng)域的顯示設(shè)備,具有高亮度、低功耗、長壽命等優(yōu)點(diǎn)。根據(jù)其結(jié)構(gòu)類型,LED數(shù)碼管顯示器主要分為
    的頭像 發(fā)表于 08-28 17:55 ?692次閱讀

    數(shù)碼管顯示屏的靜態(tài)顯示編程是什么

    數(shù)碼管顯示屏的靜態(tài)顯示編程是一種簡(jiǎn)單的顯示方式,它指的是在任意時(shí)刻,數(shù)碼管上的所有段(a, b, c, d, e, f, g, dp等,具體
    的頭像 發(fā)表于 08-28 17:14 ?349次閱讀

    數(shù)碼管顯示屏的作用和功能是什么

    )組成的數(shù)字顯示設(shè)備。每個(gè)LED可以獨(dú)立控制,通過不同的組合方式顯示數(shù)字、字母和一些特殊符號(hào)。 1.2 數(shù)碼管的分類
    的頭像 發(fā)表于 08-28 17:11 ?492次閱讀

    怎樣區(qū)分數(shù)碼管是共陰還是共陽

    和共陽種類型。 1. 數(shù)碼管的基本原理 數(shù)碼管由多個(gè)LED段組成,每個(gè)段可以獨(dú)立控制。每個(gè)LED段對(duì)應(yīng)一個(gè)數(shù)字或字符的筆畫。
    的頭像 發(fā)表于 08-28 16:14 ?865次閱讀

    數(shù)碼管驅(qū)動(dòng)芯片引腳功能

    數(shù)碼管驅(qū)動(dòng)芯片是一種用于驅(qū)動(dòng)數(shù)碼管顯示數(shù)字或字符的集成電路。數(shù)碼管驅(qū)動(dòng)芯片的引腳功能是實(shí)現(xiàn)數(shù)碼管
    的頭像 發(fā)表于 08-28 16:08 ?510次閱讀

    【基于Lattice MXO2的小腳丫FPGA核心板】03數(shù)碼管顯示控制

    ] seg_led_1;//在小腳丫上控制一個(gè)數(shù)碼管需要9個(gè)信號(hào) MSB~LSB=DIG、DP、G、F、E、D、C、B、A output [8:0] seg_led_2;//在小腳丫上第二個(gè)數(shù)
    發(fā)表于 02-29 09:01

    proteus數(shù)碼管元件符號(hào)怎么找

    、時(shí)鐘等。它由多個(gè)發(fā)光二極(LED)組成,每個(gè)發(fā)光二極可以顯示1個(gè)數(shù)字或1個(gè)字符,通過控制不
    的頭像 發(fā)表于 02-23 17:10 ?5826次閱讀

    【基于Lattice MXO2的小腳丫FPGA核心板】工程創(chuàng)建和固件燒錄

    功能塊(硬核):一路SPI、一路定時(shí)器、2路I2C 支持DDR/DDR2/LPDDR存儲(chǔ)器; 104個(gè)可熱插拔I/O; 內(nèi)核電壓2.5-3.3V; 板載資源: 位7段數(shù)碼管兩個(gè)
    發(fā)表于 01-31 21:01

    數(shù)碼管顯示不全是什么原因造成的

    。 控制電路故障:數(shù)碼管顯示不全還可能是由于控制電路出現(xiàn)故障所致。例如,控制數(shù)碼管顯示的時(shí)序電路出現(xiàn)異常、數(shù)字信號(hào)輸出驅(qū)動(dòng)能力不足導(dǎo)致電流過小
    的頭像 發(fā)表于 12-26 13:58 ?6287次閱讀

    單片機(jī)是如何控制數(shù)碼管顯示各種字符的?

    單片機(jī)是一種集成電路,它由中央處理器、存儲(chǔ)器、輸入輸出接口和定時(shí)器等組成。它用于在電子設(shè)備中控制各種功能,包括數(shù)碼管顯示。 數(shù)碼管是一種常見的顯示裝置,用于
    的頭像 發(fā)表于 12-15 10:22 ?1524次閱讀

    單片機(jī)是如何控制數(shù)碼管顯示各種字符的?

    單片機(jī)控制數(shù)碼管顯示各種字符的過程是一個(gè)相對(duì)復(fù)雜的過程,涉及到硬件電路設(shè)計(jì)和軟件編程兩個(gè)方面。下面我將詳細(xì)介紹單片機(jī)如何控制數(shù)碼管
    的頭像 發(fā)表于 12-13 10:47 ?1739次閱讀

    數(shù)碼管筆記

    1.共陰極/共陽極數(shù)碼管的內(nèi)部組成結(jié)構(gòu)2..共陰極/共陽極數(shù)碼管的驅(qū)動(dòng)電路3.數(shù)碼管顯示字符/段選/位選
    發(fā)表于 11-06 17:18 ?3次下載

    Arduino篇—模擬數(shù)碼管時(shí)鐘

    數(shù)碼管顯示時(shí)、分、秒,其中秒的顯示數(shù)碼管中間的兩個(gè)點(diǎn)的閃爍示,閃爍一次為1秒。
    的頭像 發(fā)表于 11-01 15:28 ?856次閱讀
    Arduino篇—模擬<b class='flag-5'>數(shù)碼管</b>時(shí)鐘