0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通過小腳丫FPGA搭建實(shí)驗(yàn)電路并驗(yàn)證一個(gè)二進(jìn)制比較器

電子森林 ? 來源:FPGA入門到精通 ? 作者:FPGA入門到精通 ? 2021-01-06 17:19 ? 次閱讀

前一篇文章我們介紹了通過小腳丫FPGA核心開發(fā)板來進(jìn)行門電路的實(shí)驗(yàn)過程。當(dāng)然,我們還可以畫出更多復(fù)雜的門電路組合,并且通過小腳丫FPGA輕松實(shí)現(xiàn)對應(yīng)的輸入/輸出特性的定義?,F(xiàn)在,我們利用小腳丫來學(xué)習(xí)更多具有特定功能的實(shí)用組合邏輯電路。

本篇中,我們希望設(shè)計(jì)一個(gè)二進(jìn)制比較器,通過小腳丫FPGA搭建實(shí)驗(yàn)電路并驗(yàn)證結(jié)果。

顧名思義,二進(jìn)制比較器就是比較兩個(gè)二進(jìn)制數(shù)的大小,因此對于一個(gè)兩位輸入的比較器來說,其輸出結(jié)果不外乎有小于,大于和等于三種可能。因此我們列出這個(gè)電路的真值表。

表1二進(jìn)制比較器真值表

A

B

Y2(A

Y1(A>B)

Y0(A=B)

0

0

0

0

1

0

1

1

0

0

1

0

0

1

0

1

1

0

0

1

相信學(xué)習(xí)過數(shù)字電路課程的同學(xué)都知道如何將該電路進(jìn)行邏輯運(yùn)算,化簡并畫出對應(yīng)的門電路組合,因此該步驟我們不在此過多贅述,直接上圖。

fa4b1de6-4628-11eb-8b86-12bb97331649.png

圖1電路圖

fa808706-4628-11eb-8b86-12bb97331649.png

圖2 門電路組合

通過Verilog代碼,我們對該電路進(jìn)行硬件描述。這次我們采用Data-flow的寫法,代碼中出現(xiàn)的!&^等符號,實(shí)際上就是等于同直接對數(shù)據(jù)進(jìn)行邏輯運(yùn)算,并分別對應(yīng)NOT, AND, OR。希望大家之后對這些符號的熟悉程度就如同看¥$€等符號一樣親切。
modulecomparer1(inputwireA,//定義輸入的兩個(gè)數(shù)a、binputwireB,outputwireY2,//定義三種輸出結(jié)果對應(yīng)的ledoutputwireY0,outputwireY1);assignY2=(!A)&B;//對應(yīng)AassignY1=A&(!B);//對應(yīng)A>BassignY0=!(A^B);//對應(yīng)A=B  endmodule

在完成代碼編譯后,我們將輸入變量A和B以及輸出變量Y2,Y1,Y0分別對應(yīng)至小腳丫的板載外設(shè)上。

表2 各變量對應(yīng)小腳丫相應(yīng)引腳

變量

小腳丫

A

SW1

B

SW2

Y2

L1

Y1

L2

Y0

L3

這樣,我們就在小腳丫上構(gòu)建了一個(gè)二進(jìn)制比較器,我們可以通過調(diào)節(jié)輸入編碼開關(guān),并觀察LED燈的現(xiàn)象來驗(yàn)證我們的設(shè)計(jì)。

文章的最后我們提兩個(gè)問題:1. 如果我們將開關(guān)SW1, SW2撥至如下圖所示,L1,L2,L3所對應(yīng)的狀態(tài)應(yīng)該是怎樣的?注意:小腳丫板載的LED是低電平點(diǎn)亮,也就是說,當(dāng)輸出為1時(shí),LED熄滅,反之則亮。

圖3

2. 假如現(xiàn)在小腳丫上L2、L3處于點(diǎn)亮狀態(tài),那么撥碼開關(guān)SW1和SW2現(xiàn)在應(yīng)該是什么狀態(tài)?(圖中將撥碼開關(guān)放置中間未知僅為示意作用,實(shí)際不存在中間狀態(tài))。

圖4

是不是入手很簡單,隨后能夠很方便地做擴(kuò)展和結(jié)果驗(yàn)證?今天的內(nèi)容到此結(jié)束,下一篇我們會(huì)介紹如何通過小腳丫實(shí)現(xiàn)更多實(shí)用且有趣的組合邏輯電路實(shí)驗(yàn),敬請期待。

責(zé)任編輯:xj

原文標(biāo)題:基于FPGA的數(shù)字電路實(shí)驗(yàn)2:比較器的實(shí)現(xiàn)

文章出處:【微信公眾號:FPGA入門到精通】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600687
  • 比較器
    +關(guān)注

    關(guān)注

    14

    文章

    1623

    瀏覽量

    107007
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1590

    瀏覽量

    80308

原文標(biāo)題:基于FPGA的數(shù)字電路實(shí)驗(yàn)2:比較器的實(shí)現(xiàn)

文章出處:【微信號:xiaojiaoyafpga,微信公眾號:電子森林】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    二進(jìn)制補(bǔ)碼及與原碼的互相轉(zhuǎn)換方法

    表示負(fù)數(shù)。其余位表示數(shù)值的大小。 二進(jìn)制補(bǔ)碼?是種用于表示有符號整數(shù)的二進(jìn)制編碼方式,常用于?計(jì)算機(jī)系統(tǒng)中。在補(bǔ)碼系統(tǒng)中,個(gè)數(shù)字的補(bǔ)碼是
    的頭像 發(fā)表于 09-19 22:25 ?251次閱讀

    二進(jìn)制處理中的些技巧

    二進(jìn)制和十進(jìn)制的處理中,有時(shí)候些小技巧是很有用的。 1、把十進(jìn)制數(shù)轉(zhuǎn)換成二進(jìn)制數(shù) (1)在MATLAB中有
    的頭像 發(fā)表于 07-05 11:51 ?441次閱讀

    二進(jìn)制串行計(jì)數(shù)工作原理是什么?

    在數(shù)字電路設(shè)計(jì)中,計(jì)數(shù)種非常關(guān)鍵的組件,用于測量時(shí)間、計(jì)數(shù)事件或跟蹤狀態(tài)變化等。其中,二進(jìn)制串行計(jì)數(shù)作為
    的頭像 發(fā)表于 05-28 15:52 ?611次閱讀

    【基于Lattice MXO2的小腳丫FPGA核心板】03數(shù)碼管顯示控制

    ] seg_led_1;//在小腳丫上控制個(gè)數(shù)碼管需要9個(gè)信號 MSB~LSB=DIG、DP、G、F、E、D、C、B、A output [8:0] seg_led_2;//在
    發(fā)表于 02-29 09:01

    如何實(shí)現(xiàn)二進(jìn)制和BCD碼數(shù)據(jù)的相互轉(zhuǎn)變?

    如何實(shí)現(xiàn)二進(jìn)制和BCD碼數(shù)據(jù)的相互轉(zhuǎn)變? 二進(jìn)制碼是將十進(jìn)制數(shù)字表示為二進(jìn)制數(shù)和十進(jìn)制數(shù)的種表
    的頭像 發(fā)表于 02-18 14:51 ?2909次閱讀

    二進(jìn)制與邏輯電平的變化范圍

    二進(jìn)制中的兩個(gè)數(shù)字0和1稱為位(bit, 是二進(jìn)制數(shù)字binary digit的縮寫)。在數(shù)字電路中,使用兩個(gè)不同的電平表示這兩個(gè)位。般情
    的頭像 發(fā)表于 02-04 16:54 ?1077次閱讀
    <b class='flag-5'>二進(jìn)制</b>與邏輯電平的變化范圍

    【基于Lattice MXO2的小腳丫FPGA核心板】工程創(chuàng)建和固件燒錄

    基于Lattice MXO2 LPC的小腳丫FPGA核心板 - Type C接口 開發(fā)板的硬件規(guī)格如下: 核心器件:Lattice LCMXO2-4000HC-4MG132 132腳BGA封裝
    發(fā)表于 01-31 21:01

    鴻蒙二進(jìn)制數(shù)組創(chuàng)建

    背景 c++層數(shù)據(jù)都是二進(jìn)制,需要轉(zhuǎn)換成arrayBuffer透傳到ets層給業(yè)務(wù)使用,但是鴻蒙的使用下面兩個(gè)api創(chuàng)建出來的二進(jìn)制數(shù)組數(shù)據(jù)都是錯(cuò)誤的。 接口
    的頭像 發(fā)表于 01-31 15:24 ?1181次閱讀

    二進(jìn)制、八進(jìn)制、十六進(jìn)制在現(xiàn)實(shí)當(dāng)中有什么意義?

    二進(jìn)制、八進(jìn)制、十六進(jìn)制在現(xiàn)實(shí)當(dāng)中有什么意義? 二進(jìn)制、八進(jìn)制和十六進(jìn)制在現(xiàn)實(shí)生活中有著廣泛的應(yīng)
    的頭像 發(fā)表于 01-16 11:14 ?4425次閱讀

    10進(jìn)制轉(zhuǎn)換為二進(jìn)制的算法

    進(jìn)制轉(zhuǎn)換為二進(jìn)制是計(jì)算機(jī)科學(xué)中非常基礎(chǔ)且重要的概念之。在理解和應(yīng)用計(jì)算機(jī)科學(xué)的基礎(chǔ)知識時(shí),掌握這個(gè)算法是至關(guān)重要的。 在開始講解十進(jìn)制轉(zhuǎn)換為二進(jìn)
    的頭像 發(fā)表于 01-15 10:32 ?2428次閱讀

    10進(jìn)制轉(zhuǎn)換為二進(jìn)制的算法

    二進(jìn)制的算法可以分為兩個(gè)步驟:整數(shù)部分轉(zhuǎn)換和小數(shù)部分轉(zhuǎn)換。下面將詳細(xì)介紹這兩個(gè)步驟。 首先,我們來討論整數(shù)部分的轉(zhuǎn)換。整數(shù)部分的轉(zhuǎn)換是比較簡單的,我們可以
    的頭像 發(fā)表于 01-11 09:14 ?1984次閱讀

    labview二進(jìn)制字符串轉(zhuǎn)數(shù)值

    LabVIEW是種圖形化編程環(huán)境,用于實(shí)時(shí)數(shù)據(jù)采集、信號處理以及自動(dòng)化控制等領(lǐng)域。它采取了數(shù)據(jù)流編程的思想,用戶可以通過將各種功能模塊進(jìn)行連接,輕松搭建起復(fù)雜的系統(tǒng)。 在LabVIEW中,
    的頭像 發(fā)表于 01-05 16:20 ?2313次閱讀

    二進(jìn)制DAC示例介紹

    電子發(fā)燒友網(wǎng)站提供《二進(jìn)制DAC示例介紹.pdf》資料免費(fèi)下載
    發(fā)表于 11-28 11:23 ?1次下載
    <b class='flag-5'>二進(jìn)制</b>DAC示例介紹

    異步二進(jìn)制加法計(jì)數(shù)電路

    電子發(fā)燒友網(wǎng)站提供《異步二進(jìn)制加法計(jì)數(shù)電路圖.zip》資料免費(fèi)下載
    發(fā)表于 11-20 14:54 ?20次下載
    異步<b class='flag-5'>二進(jìn)制</b>加法計(jì)數(shù)<b class='flag-5'>器</b><b class='flag-5'>電路</b>圖

    二進(jìn)制數(shù)據(jù)及取值范圍的計(jì)算方法

    本文介紹二進(jìn)制數(shù)據(jù)的相關(guān)知識,如定義、取值范圍計(jì)算、轉(zhuǎn)換為十進(jìn)制的方法以及些常見位數(shù)的二進(jìn)制數(shù)據(jù)的取值范圍等。
    的頭像 發(fā)表于 11-08 15:48 ?1832次閱讀
    <b class='flag-5'>二進(jìn)制</b>數(shù)據(jù)及取值范圍的計(jì)算方法