0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

物聯(lián)網(wǎng)和AI新格局的塑造,從半導體上游開始

lPCU_elecfans ? 來源:電子發(fā)燒友網(wǎng) ? 作者:黃晶晶 ? 2021-01-15 17:09 ? 次閱讀

物聯(lián)網(wǎng)的碎片化和無處不在的AI幾乎是締造創(chuàng)新的兩個最大的機會。在芯片領(lǐng)域,它襲卷了半導體上下游,當然,物聯(lián)網(wǎng)和AI新格局的塑造,還是要從半導體上游開始。

在2020年到2021年,甚至更長時間里,上游廠商正在做哪些改變,在2020 ICCAD年會期間,筆者采訪了多位半導體行業(yè)的高管。他們?nèi)绾吻罢靶缘乜创@兩股創(chuàng)新潮流?對于芯片設(shè)計和應用創(chuàng)新而言,上游如何布局物聯(lián)網(wǎng)和AI發(fā)展將至關(guān)重要。

EDA與超級系統(tǒng)的融合,與AI的嫁接

EDA軟件是芯片設(shè)計最上游最高端的產(chǎn)業(yè),也最能看到芯片設(shè)計的走向。說到疫情對半導體行業(yè)的影響,Mentor, a Siemens Business全球副總裁兼中國區(qū)總經(jīng)理凌琳認為,即便現(xiàn)在疫情持續(xù),中國芯片設(shè)計的客戶也在快速增長。這其中包括從大廠出來的創(chuàng)業(yè)團隊,也有初創(chuàng)公司,它們的設(shè)計需求增多。這說明創(chuàng)新正在蓬勃發(fā)展。 中國IC設(shè)計企業(yè)的數(shù)量不斷攀升,它們的創(chuàng)新是真創(chuàng)新還是同質(zhì)化的競爭,這是目前凌琳看到的比較突出的問題。他認為,若只是設(shè)計一款與友商類似的產(chǎn)品打價格戰(zhàn),那么導致的結(jié)果就是供應鏈更加混亂,芯片也賣不出好價錢。Mentor更鼓勵真正意義的創(chuàng)新,西門子強大的系統(tǒng)支持,不斷擴充的工具,能夠配合芯片客戶的創(chuàng)新設(shè)計。 現(xiàn)在,Mentor EDA即將正式更名為西門子EDA,未來將融入到西門子智能制造和工業(yè)軟件的體系中發(fā)揮更大的能量。

今年6月,西門子宣布收購英國IP公司UltraSoC。UltraSoC能夠為系統(tǒng)級芯片(SoC)提供內(nèi)部分析及監(jiān)測技術(shù),它的嵌入式分析技術(shù)可支持產(chǎn)品設(shè)計人員去增加先進的網(wǎng)絡安全、功能安全性以及性能微調(diào)等特性。一個例子是,曾經(jīng)谷歌服務器中25%的硬盤速度下降了25%,但谷歌20個月后才發(fā)現(xiàn)此問題,又花了2年才找到問題所在,如果用UltraSoC的IP,二三天就可搞定。

為什么西門子先后收購Mentor以及UltraSoC?凌琳表示,早期外界看不明白西門子的布局。實際上西門子希望構(gòu)建一個完整的閉環(huán)的平臺Xcelerator。Mentor專注于IC和系統(tǒng)設(shè)計的軟件,對客戶而言他的芯片能在系統(tǒng)中表現(xiàn)出效能,而這個子系統(tǒng)又是西門子超級系統(tǒng)的一部分,這個超級系統(tǒng)還包括機械設(shè)計、系統(tǒng)熱力等數(shù)據(jù)分析。 這個超級系統(tǒng)也佐證了,西門子所推崇的數(shù)字化雙胞胎的最終理念是將設(shè)計的模型到物理的模型完全影射,對復雜的數(shù)據(jù)進行有效的管理。那么在這個平臺上,客戶只需專注業(yè)務本質(zhì)專注產(chǎn)品即可。未來,這個平臺還將拓展到晶圓的尖端制造等領(lǐng)域。 EDA融入到超級系統(tǒng)中,是西門子在工業(yè)軟件領(lǐng)域的戰(zhàn)略選擇,它代表未來全流程制造的先進數(shù)字化管理。

對于EDA的另一個趨勢即是AI,現(xiàn)在,國際巨頭也好,國產(chǎn)廠商也罷,都不約而同的站在AI這個起跑線上。 在講EDA與AI之前 ,我們先關(guān)注今年國產(chǎn)EDA的融資。9月2日,國微思爾芯宣布完成新一輪數(shù)億元融資。10月、11月、12月連續(xù)三個月,芯華章完成三輪各過億元融資。半導體“卡脖子”的技術(shù)EDA已經(jīng)得到全行業(yè)的重視。 顯然,國產(chǎn)EDA若是再走三大EDA巨頭的研發(fā)路徑是行不通的,也不符合新設(shè)計的需求。那么AI就成為他們研發(fā)方向的重要選擇。

芯華章科技董事長兼CEO王禮賓將EDA的發(fā)展分為EDA1.0和EDA2.0階段。他認為,過去三十年的EDA行業(yè)屬于EDA1.0時代,已經(jīng)形成固有的架構(gòu)和龐大的客戶群。我們正在開創(chuàng)的是EDA2.0時代,這個時期,人工智能云計算興起,新興EDA公司有機會從基礎(chǔ)架構(gòu)開始嵌入AI,并為客戶提供云服務等便利。簡單來說,EDA1.0實現(xiàn)了EDA的自動化。EDA2.0要實現(xiàn)EDA的智能化。 要實現(xiàn)智能化,王禮賓認為最重要的一點是融合。芯華章招攬了一批相關(guān)行業(yè)的優(yōu)秀人才,因為開發(fā)EDA軟件涉及到物理、數(shù)學、微電子、計算機、人工智能等各方面的專業(yè)人才。這些專才有些可能不懂EDA,但是他們擅長將其專業(yè)領(lǐng)域的知識融入到EDA的設(shè)計當中。 智能化所能達到的效果超出想象,舉個例子,現(xiàn)在的驗證技術(shù)有軟件仿真、硬件仿真、原型驗證等等。那么到底是用軟件仿真還是硬件仿真?傳統(tǒng)上依賴足夠的經(jīng)驗進行判斷。智能化后,不再需要人工進行選擇,在設(shè)計軟件中直接直接進行判斷。 芯華章還看到一個趨勢,即開源。

王禮賓強調(diào),鑒于國內(nèi)EDA人才匱乏,EDA開源平臺的建立有利于吸納更多人才加入、使用以及交流。芯華章的EDA開源平臺已經(jīng)吸引超過1000多人注冊。前不久,芯華章將一款開源仿真器的速度提升一倍,得到業(yè)界的強烈反響。 芯華章正在打造EDA2.0和開源生態(tài),未來還將推出可能是中國最大的硬件驗證云,即由一組硬件仿真器組成的硬件云,服務于客戶在驗證階段的峰值需求。 EDA涉及前端、后端、驗證,從仿真、綜合到版圖、工藝制造等等,目前國內(nèi)EDA企業(yè)尚未提供全流程產(chǎn)品,基本從點工具進行突破。 國微思爾芯是業(yè)內(nèi)領(lǐng)先的快速原型驗證及仿真系統(tǒng)解決方案提供商,目前有超過500家客戶以及-3000多套原型驗證工具應用于客戶的設(shè)計中,全部產(chǎn)品自主研發(fā),擁有多項專利和軟件著作權(quán)。S2C有一支高效的設(shè)計和支持團隊,已在美國、英國、以色列、韓國、日本以及中國臺灣設(shè)立辦事處或銷售代表處,提供客戶服務與支持。

上海國微思爾芯(S2C)首席執(zhí)行官兼總裁林俊雄 然而國產(chǎn)EDA全流程也在持續(xù)打造中。上海國微思爾芯首席執(zhí)行官兼總裁林俊雄表示,國微集團在整個數(shù)字EDA全流程各個方面都進行了很好的布局,不只包含國微思爾芯和鴻芯微納,還投資了一些公司以及校企合作,不斷完善其布署。同時,各兄弟企業(yè)之間點面結(jié)合,合力發(fā)展。 鴻芯微納是國微集團另一家專注數(shù)字芯片全流程設(shè)計的EDA企業(yè),其數(shù)字電路工具支持邏輯綜合、布局布錢,并支持7納米先進工藝。鴻芯微納CTO王宇成表示,數(shù)字EDA全流程是國產(chǎn)EDA比較短板的環(huán)節(jié),鴻芯微納通過與高校合作培養(yǎng)人才、與客戶緊密合作優(yōu)化工具,高起點發(fā)展。

早年國外EDA廠商通過不斷的并購整合,逐漸發(fā)展壯大,同樣國產(chǎn)EDA可以借鑒這樣的路徑,王宇成認為國產(chǎn)EDA可以對高校的研發(fā)成果或者其他在架構(gòu)上不起決定作用的點工具加以并購整合,的確不需要自己完全開發(fā)。這樣有利于國產(chǎn)EDA更快地形成完整的體系。 他同時強調(diào),EDA國產(chǎn)化并不是終點,最終的目標是融入全球供應鏈,參與全球分工,這樣就需要研發(fā)的前瞻視角,需要和全球領(lǐng)先的技術(shù)PK。 “國產(chǎn)EDA的優(yōu)勢是貼近客戶,國內(nèi)很多客戶的設(shè)計水平已經(jīng)國際領(lǐng)先,他們的算法或特有的技術(shù),通過我們與客戶的共同開發(fā),將其嵌入到我們的數(shù)據(jù)庫或數(shù)據(jù)模型共享。我們與客戶近距離溝通、定制化。這些促成了我們的工具快速迭代?!蓖跤畛烧f道。 AI是擺在EDA公司的機會,國微思爾芯,鴻芯微納也不例外,他們已經(jīng)積極開展一些項目將AI融入到EDA的設(shè)計當中,已經(jīng)取得了一些成果。

打造適合中國廣大IC設(shè)計企業(yè)的IP

安謀中國成立兩年間先后發(fā)布了周易、星辰、山海、玲瓏四大類IP,逐漸完善SoC的計算單元。安謀中國產(chǎn)品研發(fā)常務副總裁劉澍表示,目前合資公司的CPU、人工智能、物聯(lián)網(wǎng)安全、多媒體等一整套IP的設(shè)計研發(fā)都在中國完成,我們希望通過這種模式不斷支持Arm生態(tài)在中國的繁榮,支持本土半導體產(chǎn)業(yè)的發(fā)展和自我和獨立創(chuàng)新。

他認為,很多時候,我們學習國外先進的技術(shù),是學習他的管理和設(shè)計流程,并不是只學習設(shè)計。我們的設(shè)計要更多地滿足市場,也就是客戶的需求。安謀中國自研IP的一個特點即是貼近客戶。 在形成完整的計算平臺單元之后,安謀中國下一步仍然看重市場前沿的需求。劉澍表示,未來一定是異構(gòu)計算的發(fā)展,這些計算平臺也將適用于更多不同的場景,Arm技術(shù)已經(jīng)從手機消費電子擴展到服務器、汽車自動駕駛等高性能計算的領(lǐng)域。這些應用更需要貼近市場和客戶,更需要精細化、定制化。 差異化產(chǎn)品線是中國芯片IP提供商和IC設(shè)計企業(yè)的機會。銳成芯微Actt專注于超低功耗模擬IP和高可靠性eNVM研發(fā)和授權(quán)業(yè)務,尤其是物聯(lián)網(wǎng)的需求爆發(fā)之時,公司獲得了很好的發(fā)展機會。

成都銳成芯微科技股份有限公司CEO沈莉 成都銳成芯微科技股份有限公司CEO沈莉認為,物聯(lián)網(wǎng)的碎片化適合中小企業(yè),他們靈活作戰(zhàn),找準細分市場機會,我們的IP正好可以配合到他們的需求。今年國內(nèi)IC設(shè)計企業(yè)數(shù)量又創(chuàng)新高(最新數(shù)據(jù)達到2218家),IP廠商向來對IC設(shè)計企業(yè)的動態(tài)最敏感,的確,銳成芯微的客戶數(shù)量也有明顯增加,芯片項目也在增加,這也佐證了今年IC設(shè)計繁榮的趨勢。 她指出,很多新興IC設(shè)計公司都瞄準物聯(lián)網(wǎng),比如蜂窩類通信,NB-IOT經(jīng)過這兩三年已經(jīng)發(fā)展成熟,NB-IOT水電表的出貨在放量。其次,可穿戴產(chǎn)品,例如藍牙耳機、智能手表手環(huán)等,這其中很多觸控、語音芯片非常適合中小公司去開發(fā)。 此外還出現(xiàn)了不少電源管理芯片的創(chuàng)業(yè)公司。由于半導體融資的相對寬容,以及海歸、國際公司背景的人士等非常積極,近幾年半導體創(chuàng)業(yè)公司非常多,這些中小企業(yè)促進了國內(nèi)半導體的發(fā)展。

她還表示,IP向來是苦累活,是否產(chǎn)業(yè)內(nèi)能夠孵化出一個更創(chuàng)新的發(fā)展模式,讓IP做起來更快,也讓IP公司的價值更高。談到公司未來的發(fā)展,她說銳成芯微將在云端、5G通信設(shè)備以及汽車智能化、電動化等方向進展IP業(yè)務拓展。 RISC-V在物聯(lián)網(wǎng)時代被寄予厚望,作為開源指令集架構(gòu),避開英特爾和ARM盤踞的PC和手機市場,在物聯(lián)網(wǎng)市場有望逆襲。 賽昉科技成立兩年以來,始終致力于推動中國RISC-V生態(tài)、開發(fā)本土化的RISC-V產(chǎn)品,據(jù)StarFive賽昉科技CEO徐滔介紹,今年有三款重大發(fā)布: 首先,今年3月份推出滿天星計劃,定位于MCU級別,使得業(yè)界能夠以極低的成本使用RISC-V核。 其次,今年9月份推出芯片平臺——驚鴻7100,是全球首款高性能的RISC-V人工智能視覺處理平臺,側(cè)重于中高端的應用。

再就是,12月發(fā)布天樞系列處理器IP。此前業(yè)界普遍認為RISC-V是一個IoT方面的應用,而天樞處理器則是面向高性能計算的CPU IP。它可以應用于數(shù)據(jù)中心,5G通信、人工智能和機器學習,在Edge端和Cloud端都可以使用。這對于RISC-V生態(tài)來說具有里程碑的意義。 目前,RISC-V生態(tài)還不齊全,既需要開發(fā)板,也需要社區(qū),一塊好的開發(fā)板能夠為整個開源社區(qū)的賦能起到極大的推動作用。而賽昉正在積極打造這一應用層面上的RISC-V生態(tài)。 RISC-V正在成為眾多半導體公司的另一種選擇,無論是出于戰(zhàn)略還是供應鏈安全的考慮。在中國RISC-V的發(fā)展還處于初級階段,徐滔認為,最初工程師可能會因為RISC-V便宜而選擇它,其實不然,只要對產(chǎn)品和應用場景的軟硬件生態(tài)理解足夠深刻,RISC-V完全能夠發(fā)揮更大的用處,工程師能夠采用RISC-V設(shè)計出更好的產(chǎn)品。

和芯微從成立之初的核心定位就是服務中國大量的中小IC設(shè)計企業(yè),提供高速高精度數(shù)模混合信號集成電路IP核。和芯微提供高速接口、數(shù)模轉(zhuǎn)換、電源管理、鎖相環(huán)和RC振蕩器等IP,積累了400多項專利,其中包括美國發(fā)明專利80多項,國內(nèi)的發(fā)明專利接近300項。 IPGoal和芯微電子CEO 鄒錚賢認為系統(tǒng)廠商包括研究所、渠道商都開始定制芯片,這成為IP公司、設(shè)計服務公司未來生存的空間。在當前的機遇和挑戰(zhàn)面前,IP公司可以積極融資、快速IPO、下決心培養(yǎng)人才,擴充人力,跨Foundry,多工藝節(jié)點,全國布局,近距離服務客戶,以及可定制全流程服務能力。

芯片設(shè)計公司應掌握自研核心技術(shù),這是其產(chǎn)品的核心競爭力。IP企業(yè)盡可能的幫助芯片公司解決非核心競爭力的問題,只有通用的可復制的IP才應由IP公司去做,這樣才能發(fā)揮出IP的最大價值。 鄒總形容中小IC設(shè)計企業(yè)就像在大洪水中的小舢板一般,若要在大風大浪中不翻船,壓力非常大。就拿近期出現(xiàn)的晶圓產(chǎn)能緊缺的問題來說,中小客戶面對的最大問題是沒有產(chǎn)能。這將直接影響到中小企業(yè)的生存,更不用談創(chuàng)新。 今年8月18日,芯原股份在科創(chuàng)板上市。堪稱“中國芯片IP第一股”的芯原目前擁有5大數(shù)字處理器IP,包括GPU IP、NPU IP、VPU IP、DSP IP和ISP IP;共計 1400多個數(shù)?;旌螴P和射頻IP ,全球范圍內(nèi)擁有有效發(fā)明專利128項、商標74項;在中國境內(nèi)登記集成電路布圖設(shè)計專有權(quán)132項、軟件著作權(quán)12項以及豐富的技術(shù)秘密儲備。根據(jù)市場分析公司IPnest發(fā)布的2019年全球半導體IP廠商的營收排名,進入前十大IP廠商中的中國大陸廠商,僅排名第7的芯原股份一家,市占率為1.8%。

芯原股份董事長兼總裁戴偉民博士談到萬物信聯(lián),在邊緣計算、云計算上面存在著大量的數(shù)據(jù),這些數(shù)據(jù)如何進行“安全”的聯(lián)接,信聯(lián)是當下重要的課題。要讓大家相信就必須開源,讓所有人都能監(jiān)督,在國外谷歌一直在做推動信息安全的構(gòu)建,而芯原作為平臺廠商是國內(nèi)的推動者之一,目標是各方互認的安全數(shù)據(jù)的傳遞。 芯原是中國RISC-V產(chǎn)業(yè)聯(lián)盟的理事長單位,戴博士指出,RISC-V目前面臨的兩個主要問題一是專利,二是生態(tài)。專利方面,雖然RISC-V的指令集是開源的,但指令集僅相當于字典中的“字”,“字”雖說是開源的,如果寫成“文章”就有可能出現(xiàn)專利問題。生態(tài)方面,RISC-V再去進入手機、電腦等市場機會渺茫,畢竟這兩個市場已經(jīng)形成強大的生態(tài)。碎片化的物聯(lián)網(wǎng)市場對RISC-V來說,是個很好的應用空間。芯原也正致力于推動相關(guān)產(chǎn)業(yè)生態(tài)的發(fā)展。例如,利用聯(lián)盟的力量推動開發(fā)板、開發(fā)軟件的開源與共享,通過技術(shù)、交流共享等共同促進RISC-V應用的進步。

晶圓代工:從產(chǎn)能擴充的幾個方向看未來的增長點

臺積電在今年前三季度較去年同期達30%的增長,加上第四季度的良好預期,全年有望實現(xiàn)30%的成長。而上一次業(yè)績有大幅成長還是在十年前,2010年經(jīng)濟從金融危機中逐漸恢復,從而拉動了半導體市場的增長。 不過,TSMC臺積電(中國)副總經(jīng)理陳平博士認為,盡管新冠疫情造成的物流停滯及遠程服務需求帶來了半導體市場的波動,導致下半年需求集中爆發(fā)。但如果今天沒有突發(fā)的公共衛(wèi)生事件呢?陳平表示,近年來隨著5G、AI以及IoT的發(fā)展,市場對芯片的需求進一步迅速提高,因此臺積電對今年市場的預估原本就是樂觀的,即便沒有突發(fā)的疫情,半導體市場的表現(xiàn)也會很好。

為了應對這一增長趨勢,臺積電將以移動計算為主的一個平臺,逐漸擴充為移動計算、高效計算、智能車載、物聯(lián)網(wǎng)這四個平臺共同發(fā)展。并持續(xù)發(fā)展先進工藝制程以滿足這四大應用平臺所需。 陳平同時認為,目前整個行業(yè)呈現(xiàn)的產(chǎn)能缺口存在水分,而水分擠掉之后的水位在哪里,還需要大家持續(xù)關(guān)注。 首先,上半年因疫情很多地區(qū)物流阻斷,OEM上半年無法正常備貨,另外各類遠程辦公、教育,以及數(shù)據(jù)中心擴容造成各類終端市場的需求猛增,所以到下半年,市場的需求在晶圓代工廠這個環(huán)節(jié)被放大,而缺貨的情形進一步造就了市場恐慌,讓大家激進囤貨,以比正常庫存天數(shù)1.5倍甚至2倍的規(guī)劃來建庫存以尋求供應安全。結(jié)合半導體市場本身就有成長的需求,陳平預測這個水位是在很高的水位進行疊加,因此消除水分需要較長時間。 他對半導體的長期需求非常有信心,5G、AI以及IOT的拉動效應將持續(xù)顯現(xiàn)。 今年是聯(lián)電成立四十周年,2017年聯(lián)電宣布重大業(yè)務決策,即放棄12納米以下先進工藝的投資,專注特色工藝。今年電源管理芯片、驅(qū)動IC等供不應求,產(chǎn)能爆滿,聯(lián)電自然成為大贏家。

和艦芯片制造銷售副總經(jīng)理林偉圣告訴記者,國內(nèi)系統(tǒng)廠商已經(jīng)將供應鏈安全放在第一位,那么備貨時可能采取比過去積極的做法。受新冠疫情的影響,東南亞的生產(chǎn)鏈條變得不穩(wěn)定,反而中國大陸的生產(chǎn)鏈條健全,因此有部分國內(nèi)系統(tǒng)廠商的海外加工訂單回流。另外,今年5G加速推動終端應用增長。 擴產(chǎn)方面,聯(lián)電在去年并購日本一座12寸特色工藝晶圓廠。8寸現(xiàn)有晶圓廠擴產(chǎn)設(shè)備取得不易,如果有機會合并八寸廠,會是比較適合的方案。未來12寸線以增加28納米和22納米的產(chǎn)能為主。 林偉圣分析,紓解八寸產(chǎn)能緊缺,電源管理芯片迭代到12寸,也是值得關(guān)注。電源管理芯片的電壓供給分三個檔次。從低壓到30V,30-100V,100-700V,相應的市場規(guī)模分別是,低壓占60%,30-100V接近22%,100-700V接近18%。在工藝的節(jié)點上,粗分三個節(jié)點,第一個是現(xiàn)在最大量的8寸0.25微米或者是0.35微米。

第二個迭代,目前也在上大量的是0.11微米到0.18微米,第三個是12寸90納米或者是55納米。 驅(qū)動工藝的迭代,是數(shù)字芯片所占的面積,如果數(shù)字小于30%,0.25微米-0.35微米夠用,30-60%的數(shù)字對應的是0.11微米-0.18微米,數(shù)字增加到60%,就需要12寸的工藝。 目前國內(nèi)大部分電源管理芯片都是在0.25微米-0.35微米,有的已經(jīng)做到0.18微米-0.11微米,這部分都是8寸。目前海外的歐美公司已經(jīng)在研發(fā)12寸的55納米BCD工藝,做一些高端的電源數(shù)字化芯片。

芯片復雜度提高,國內(nèi)測試業(yè)迎頭趕上

我們通常將芯片的封測當作同一個產(chǎn)業(yè),其實不然,中國大陸擁有封裝三雄企業(yè),在專注測試這個領(lǐng)域的企業(yè)卻非常少。利揚芯片是國內(nèi)第三方芯片測試的龍頭企業(yè),于今年11月成功登陸科創(chuàng)板。 利揚芯片(LEADYO)首席執(zhí)行官張亦鋒表示,隨著芯片設(shè)計越來越復雜的趨勢,對芯片測試的依賴程度也越來越大,以測試占芯片成本的6%-8%來計算,僅服務于國內(nèi)芯片設(shè)計公司的測試產(chǎn)值就達到250億人民幣。以3000億美金的芯片進口額來計算,則測試服務未來的市場規(guī)模將是一個千億元的市場,發(fā)展?jié)摿薮蟆?/p>

相對于傳統(tǒng)封測一體化企業(yè),利揚芯片更專注于測試服務,事實上芯片測試屬于專業(yè)化極強的技術(shù)服務行業(yè)。利揚芯片主要專注于數(shù)字類和大數(shù)小模等中高端芯片的測試,為客戶提供增值的芯片測試服務。目前已經(jīng)建立33大類芯片測試解決方案,量產(chǎn)測試的芯片超過3000多種。今年晶圓代工、封裝廠都開啟了漲價模式,利揚芯片并沒有隨之漲價,反而會借助募投項目的實施,計劃增加更多新的產(chǎn)能服務中國芯。 未來利揚芯片將關(guān)注幾個重點研發(fā)方向,分別是傳感器、存儲器以及人工智能高算力芯片等。利揚芯片將提供極具競爭力測試解決方案,以軟硬件組合和大數(shù)據(jù)分析提供芯片設(shè)計公司增值服務。正因為有嚴格精準的測試為芯片產(chǎn)品交付把關(guān),可以根據(jù)不同的性能指標為芯片產(chǎn)品分檔,使得芯片物盡其用,減少殘次和報廢品,從而提高產(chǎn)品的有效利用率。以利揚芯片為龍頭的專業(yè)測試服務公司為中國芯保駕護航。

打造產(chǎn)業(yè)生態(tài),助力IC設(shè)計尤其是中小企業(yè)快速發(fā)展

摩爾精英(MooreElite)最近完成了新一輪數(shù)億元融資,圍繞其核心使命“讓中國沒有難做的芯片”的生態(tài)構(gòu)建又更進一步。 摩爾精英董事長兼CEO張競揚分析了為什么要打造為中小芯片公司服務的芯片設(shè)計和供應鏈平臺的原因。

他認為,產(chǎn)業(yè)鏈的供應商,不管是晶圓代工,封裝測試還是EDA/IP廠商,營收體量普遍在10億人民幣以上,比90%的芯片公司都大1-2個數(shù)量級,沒有門當戶對,就很難平等合作。而中國前13%的芯片公司,覆蓋80%的銷售額,供應商理性的選擇就是把資源專注在這前13%的公司身上,所以有很多中小公司得不到合理的價格、及時的技術(shù)支持和公平競爭的機會,創(chuàng)業(yè)團隊沒有能夠發(fā)揮出來自己的優(yōu)勢,反而被供應鏈和運營的短板拖累,功虧一簣。 對于中小芯片公司來說,一顆芯片的產(chǎn)品化過程中,產(chǎn)業(yè)鏈的Offering和自己的需求之間有一道難以跨越的鴻溝,因為規(guī)模的問題,經(jīng)驗的問題,中小公司很難用好這些頂級的供應商,太多精力浪費在試錯和踩坑。 摩爾精英希望成為一座橋梁,以芯片“設(shè)計云、供應鏈云、人才云”三大業(yè)務板塊為抓手,以芯片公司的需求為中心,用解決方案整合供應商的產(chǎn)品和服務,幫助中小芯片公司跨過這道鴻溝,提升產(chǎn)業(yè)鏈各環(huán)節(jié)協(xié)作的效率、同時降低風險。 中小芯片企業(yè)在物聯(lián)網(wǎng)市場的優(yōu)勢與痛點并存。

從宏觀看,未來的十年,聯(lián)網(wǎng)的設(shè)備數(shù)量會增長20倍,新增高達7萬億美金的市場。這些智能聯(lián)網(wǎng)設(shè)備的背后是大量的芯片機會。今天全球的芯片產(chǎn)值4500億美金,只占全球GDP的不到0.6%;隨著物聯(lián)網(wǎng)設(shè)備在全球的鋪開,這個比例會大幅提升,這是屬于所有半導體人的機會。 對中小公司而言,張競揚認為小公司面對碎片化市場有優(yōu)勢,他們很敏銳,可以比大公司早很多年,提前一個數(shù)量級的時候就進入市場,積累優(yōu)勢,整合資源,當市場達到一定體量,大公司想要進入的時候,會遇到小公司的精準狙擊。他認為未來10年這里面會誕生很多物聯(lián)網(wǎng)細分領(lǐng)域的芯片龍頭,大公司要么放棄這些細分市場,要么收購細分龍頭公司完成市場覆蓋。 幾乎每個行業(yè)都會經(jīng)歷一個從傳統(tǒng)的,封閉的,線性的供應鏈,走向開放的價值協(xié)同網(wǎng)絡的過程,這中間有巨大的效率提升空間,張競揚表示,因此摩爾精英打造了一站式芯片設(shè)計和供應鏈平臺,希望服務好每一位中國芯創(chuàng)業(yè)者,長遠目標是實現(xiàn)芯片產(chǎn)業(yè)鏈的在線化,智能化和協(xié)同化,提升新產(chǎn)品研發(fā)的效率,進而解決物聯(lián)網(wǎng)芯片的碎片化痛點。

ICisC南京集成電路產(chǎn)業(yè)服務中心是一個服務平臺,類似于原來的ICC,以公共技術(shù)服務為基礎(chǔ),以開放創(chuàng)新和人才培養(yǎng)為特色,從而建設(shè)專業(yè)服務能力,打造產(chǎn)業(yè)生態(tài),助推集成電路產(chǎn)業(yè)的發(fā)展。ICisC南京集成電路產(chǎn)業(yè)服務中心副總經(jīng)理、南京集成電路大學校長助理呂會軍在接受采訪時介紹道,目前在公共技術(shù)服務方面,ICISC推出了EDA服務,測試服務等,開放創(chuàng)新服務助力江北新區(qū)爭創(chuàng)國家級技術(shù)創(chuàng)新中心,成立了產(chǎn)業(yè)協(xié)同創(chuàng)新學院,今年在地方政府的主導下,又成立了南京集成電路大學。

呂會軍認為,國產(chǎn)半導體產(chǎn)業(yè)的發(fā)展主要在于人才和生態(tài)的打造??萍紕?chuàng)新以人為本,當針對人工智能、大數(shù)據(jù)等新興產(chǎn)業(yè)高校還沒有相關(guān)系統(tǒng)化、專業(yè)化的課程來匹配時,南京集成電路大學的成立正是為了搭建這樣的開放式平臺,向相關(guān)人才提供多學科、交叉融合的學習機會。 此外,生態(tài)的打造方面,南京ICisC助力江北新區(qū)在EDA方面進行生態(tài)建設(shè)的布局,聯(lián)合華大九天、芯華章等廠商開展大學計劃,培養(yǎng)EDA人才共同打造生態(tài)。南京集成電路大學的運作,機制靈活。與高校相比,更強調(diào)個性化,依據(jù)高校的薄弱環(huán)節(jié)進行有針對性的訓練,以案例課程和項目實踐課程為主。邀請來自于資深的工程師、行業(yè)專家做師資。它將不是一所傳統(tǒng)意義上的大學,更像一個銜接高校和企業(yè),推進產(chǎn)教融合的一個開放性的平臺。它是高校教育的一個重要補充,同時也是企業(yè)選材的一個主要來源。 南京發(fā)展集成電路有著不同于其他城市的特色,首先是發(fā)揮國際龍頭企業(yè)包括臺積電等落戶江北新區(qū)的引領(lǐng)和帶動作用。其次,圍繞信創(chuàng)工程,推進“芯機聯(lián)動”,實現(xiàn)芯片和整機的良性發(fā)展。再者,要以EDA設(shè)計方法學為切入點,通過技術(shù)驅(qū)動,賦能企業(yè)創(chuàng)新,形成南京集成電路高質(zhì)量發(fā)展的局面再者,要以EDA設(shè)計方法學為切入點,通過技術(shù)驅(qū)動,賦能企業(yè)創(chuàng)新,形成南京集成電路高質(zhì)量發(fā)展的局面。

小結(jié)

物聯(lián)網(wǎng)和AI給半導體的各個環(huán)節(jié)帶來了新的機會,例如面向中小企業(yè)的供應鏈正在建立,國內(nèi)廠商有機會補短板,也有機會將新的技術(shù)融入到新的架構(gòu)當中。國產(chǎn)IC設(shè)計業(yè)數(shù)量的不斷增長除了競爭加劇也是產(chǎn)業(yè)繁榮的象征。半導體上游正在助推這一繁榮的到來。

原文標題:最大的機會:物聯(lián)網(wǎng)與AI正在重塑半導體業(yè)

文章出處:【微信公眾號:電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 半導體
    +關(guān)注

    關(guān)注

    334

    文章

    26669

    瀏覽量

    212919
  • 物聯(lián)網(wǎng)
    +關(guān)注

    關(guān)注

    2899

    文章

    43822

    瀏覽量

    369274
  • AI
    AI
    +關(guān)注

    關(guān)注

    87

    文章

    29435

    瀏覽量

    267731

原文標題:最大的機會:物聯(lián)網(wǎng)與AI正在重塑半導體業(yè)

文章出處:【微信號:elecfans,微信公眾號:電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    作為產(chǎn)業(yè)上游關(guān)鍵,國產(chǎn)半導體材料進展如何?

    電子發(fā)燒友網(wǎng)報道(文/吳子鵬)統(tǒng)計數(shù)據(jù)顯示,2023年中國半導體材料市場規(guī)模為146億元,同比增長12%;2016-2023年復合增長率為10%,高于同期全球增速(5.3%)。半導體材料對于整個
    的頭像 發(fā)表于 10-21 01:04 ?1638次閱讀

    半導體在集成電路中的應用

    本文旨在剖析這個半導體領(lǐng)域的核心要素,最基本的晶體結(jié)構(gòu)開始,逐步深入到半導體在集成電路中的應用。
    的頭像 發(fā)表于 10-18 14:24 ?181次閱讀

    作為產(chǎn)業(yè)上游關(guān)鍵,國產(chǎn)半導體材料進展如何?

    電子發(fā)燒友網(wǎng)報道(文/吳子鵬)統(tǒng)計數(shù)據(jù)顯示,2023年中國半導體材料市場規(guī)模為146億元,同比增長12%;2016-2023年復合增長率為10%,高于同期全球增速(5.3%)。半導體材料對于整個
    的頭像 發(fā)表于 10-12 15:46 ?910次閱讀

    意法半導體與高通合作開發(fā)邊緣AI聯(lián)網(wǎng)解決方案

    意法半導體(簡稱ST)與高通公司旗下子公司高通技術(shù)國際有限公司(簡稱QTI)宣布,雙方達成一項新的戰(zhàn)略協(xié)議,合作開發(fā)基于邊緣AI的下一代工業(yè)和消費聯(lián)網(wǎng)解決方案。雙方將充分發(fā)揮互補優(yōu)勢
    的頭像 發(fā)表于 10-12 11:25 ?337次閱讀

    意法半導體與高通攜手推進聯(lián)網(wǎng)解決方案

    近日,全球領(lǐng)先的半導體制造商意法半導體(ST)與高通技術(shù)國際有限公司,即高通公司的子公司,共同宣布了一項全新的戰(zhàn)略合作。雙方將攜手合作,共同開發(fā)基于邊緣人工智能(AI)的下一代工業(yè)和消費
    的頭像 發(fā)表于 10-10 16:47 ?198次閱讀

    意法半導體聯(lián)網(wǎng)eSIM解決方案簡介

    本白皮書探討了使用eSIM的優(yōu)勢及其工作原理。其中還全面概述了新GSMA IoT eSIM規(guī)范,以及該規(guī)范如何確保為各種類型的互聯(lián)設(shè)備和應用提供靈活安全的全球電信覆蓋解決方案。最后我們將介紹意法半導體便捷易用的聯(lián)網(wǎng)eSIM產(chǎn)品
    的頭像 發(fā)表于 09-11 11:45 ?307次閱讀
    意法<b class='flag-5'>半導體</b><b class='flag-5'>物</b><b class='flag-5'>聯(lián)網(wǎng)</b>eSIM解決方案簡介

    集成Ceva蜂窩聯(lián)網(wǎng)平臺于意法半導體NB-IoT工業(yè)模塊,強化聯(lián)網(wǎng)連接能力

    合作。意法半導體已獲得Ceva-Waves Dragonfly NB-IoT平臺的授權(quán)許可,并將其核心技術(shù)成功集成至最新推出的ST87M01超緊湊、低功耗模塊中。該模塊集成了高效能的窄帶聯(lián)網(wǎng)(NB-IoT)通信技術(shù)與高精度的全
    的頭像 發(fā)表于 07-19 14:22 ?571次閱讀

    如何通過Matter 1.3新標準塑造聯(lián)網(wǎng)的未來

    SiliconLabs(亦稱“芯科科技”)高級產(chǎn)品營銷經(jīng)理SamiKaislasuo近期轉(zhuǎn)寫一篇趨勢應用文章來探討如何通過Matter 1.3新標準塑造聯(lián)網(wǎng)的未來。隨著聯(lián)網(wǎng)的整合,
    的頭像 發(fā)表于 07-09 14:25 ?631次閱讀

    半導體行業(yè)供需分化,晶圓代工產(chǎn)能激增引價格上漲

    在全球宏觀經(jīng)濟形勢的波動背景下,半導體市場下游需求展現(xiàn)出明顯的分化趨勢。傳統(tǒng)消費終端如顯示、PC、手機等領(lǐng)域的需求持續(xù)低迷,而新興領(lǐng)域如AI服務器、聯(lián)網(wǎng)、新能源車等則呈現(xiàn)出強勁的增長
    的頭像 發(fā)表于 06-19 11:15 ?219次閱讀
    <b class='flag-5'>半導體</b>行業(yè)供需分化,晶圓代工產(chǎn)能激增引價格上漲

    三星已開始使用AI技術(shù)設(shè)計半導體

    近日,業(yè)內(nèi)傳出消息,三星電子系統(tǒng)LSI部門成功完成了針對系統(tǒng)半導體“核心”設(shè)計的AI EDA(電子設(shè)計自動化)解決方案。這一創(chuàng)新技術(shù)標志著三星電子在半導體設(shè)計領(lǐng)域邁出了重要一步。
    的頭像 發(fā)表于 05-31 09:17 ?474次閱讀

    半導體發(fā)展的四個時代

    芯片。技術(shù)開始變得民主化、大眾化,世界從此變得不一樣了。 半導體的第三個時代——代工 本質(zhì)上來看,第三個時代是第二個時代成熟的必然結(jié)果。集成電路設(shè)計和制造的所有步驟都開始變得相當具
    發(fā)表于 03-27 16:17

    半導體發(fā)展的四個時代

    芯片。技術(shù)開始變得民主化、大眾化,世界從此變得不一樣了。 半導體的第三個時代——代工 本質(zhì)上來看,第三個時代是第二個時代成熟的必然結(jié)果。集成電路設(shè)計和制造的所有步驟都開始變得相當具
    發(fā)表于 03-13 16:52

    東芝開始建設(shè)功率半導體后端生產(chǎn)設(shè)施

    東芝電子元件及存儲裝置株式會社(東芝)近期宣布,已開始在位于日本西部兵庫縣的姬路運營 - 半導體工廠建設(shè)功率半導體后端生產(chǎn)設(shè)施。新工廠將于2025年春季開始量產(chǎn)。
    的頭像 發(fā)表于 03-07 18:26 ?809次閱讀

    AI半導體設(shè)計和制造中的作用

    半導體產(chǎn)業(yè)正在經(jīng)歷一場由數(shù)字化轉(zhuǎn)型引領(lǐng)的結(jié)構(gòu)性變革,人工智能(AI)技術(shù)融入產(chǎn)品研發(fā)過程進一步加速了這一轉(zhuǎn)型。與此同時,摩爾定律晶體管微縮向系統(tǒng)級微縮的演進以及新冠疫情引發(fā)的全球電子供應鏈重塑,也為
    的頭像 發(fā)表于 02-23 09:59 ?869次閱讀

    揭秘AI半導體深度融合背后的創(chuàng)新力量

    隨著科技的飛速發(fā)展,人工智能(AI)與半導體產(chǎn)業(yè)正以前所未有的速度深度融合。這一融合不僅推動了半導體技術(shù)的進步,更為AI的廣泛應用和商業(yè)化提供了堅實的基礎(chǔ)。本文旨在探討這種深度融合將如
    的頭像 發(fā)表于 02-22 10:09 ?975次閱讀
    揭秘<b class='flag-5'>AI</b>與<b class='flag-5'>半導體</b>深度融合背后的創(chuàng)新力量