0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ZYNQ Ultrascale+ MPSOC FPGA Hello World教程

電子設(shè)計 ? 來源:電子設(shè)計 ? 作者:電子設(shè)計 ? 2022-02-09 11:17 ? 次閱讀

作者:ALINX

* 本原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權(quán)歸本公司所有,如需轉(zhuǎn)載,需授權(quán)并注明出處。

適用于板卡型號:
AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

此文基于第十八章內(nèi)容進(jìn)行軟件開發(fā)

【ZYNQ Ultrascale+ MPSOC FPGA教程】第十八章Hello World(上)

軟件工程師工作內(nèi)容

Vitis工程目錄為“ps_hello/vitis”

以下為軟件工程師負(fù)責(zé)內(nèi)容。

1.Vitis調(diào)試;

2.創(chuàng)建Application工程;

1)新建一個文件夾,將vivado導(dǎo)出的xx.xsa文件拷貝進(jìn)來;

2)Vitis是獨(dú)立的軟件,可以雙擊Vitis軟件打開;

也可以通過在Vivado軟件中選擇ToolsLaunch Vitis打開Vitis軟件

o4YBAGAJSGmAehB7AABrenT6bXk171.png

選擇之前新建的文件夾,點(diǎn)擊”Launch”

pIYBAGAJSKyADi1OAAArkExO0D4070.png

3)啟動Vitis之后界面如下,點(diǎn)擊“Create Application Project”,這個選項(xiàng)會生成APP工程以及Platfrom工程,Platform工程類似于以前版本的hardware platform,包含了硬件支持的相關(guān)文件以及BSP。

4)第一頁為介紹頁,直接跳過,點(diǎn)擊Next

5)選擇“Create a new platform from hardware(XSA)”,選擇“Browse”

選擇之前生成的xsa,點(diǎn)擊打開

6)最下面的Generate boot components選項(xiàng),如果勾選上,軟件會自動生成fsbl工程,我們一般選擇默認(rèn)勾選上。

7)填入APP工程名稱,在方框處點(diǎn)擊可以選擇對應(yīng)的處理器,我們這里保持默認(rèn)

8)在這個界面可以修改Domain名稱,選擇操作系統(tǒng),ARM架構(gòu)等,這里保持默認(rèn),操作系統(tǒng)選擇standalone,也就是裸機(jī)。

9)選擇”Hellow World”模板,點(diǎn)擊“Finish”完成

10)完成之后可以看到生成了兩個工程,一個是硬件平臺工程,即之前所說的Platfrom工程,一個是APP工程

11)展開Platform工程后可以看到里面包含有BSP工程,以及zynq_fsbl工程(此工程即選擇Generate boot components之后的結(jié)果),雙擊platform.spr即可看到Platform對應(yīng)生成的BSP工程,可以在這里對BSP進(jìn)行配置。軟件開發(fā)人員比較清楚,BSP也就是Board Support Package板級支持包的意思,里面包含了開發(fā)所需要的驅(qū)動文件,用于應(yīng)用程序開發(fā)??梢钥吹絇latform下有多個BSP,這是跟以往的SDK軟件不一樣的,其中zynqmp_fsbl即是fsbl的BSP,domain_psu_cortexa53_0即是APP工程的BSP。也可以在Platform里添加BSP,在以后的例程中再講。

pIYBAGAJS-2AZ5OXAAB8eoCV3y0744.png

12)點(diǎn)開BSP,即可看到工程帶有的外設(shè)驅(qū)動,其中Documentation是xilinx提供的驅(qū)動的說明文檔,Import Examples是xilinx提供的example工程,加快學(xué)習(xí)。

13)選中APP工程,右鍵Build Project,或者點(diǎn)擊菜單欄的“錘子”按鍵,進(jìn)行工程編譯

o4YBAGAJTGqAJ_TIAACVE-HdS1M110.png

14)可以在Console看到編譯過程

pIYBAGAJTKiAQebEAAAmbI9nQ1s160.png

編譯結(jié)束,生成elf文件

o4YBAGAJTReAYSX-AAA77pJRZSg868.png

15)連接JTAG線到開發(fā)板、UARTUSB線到PC

16)使用PuTTY軟件做為串口終端調(diào)試工具,PuTTY是一個免安裝的小軟件

o4YBAGAJTVaAM4T2AACdaSO3Gpg960.png

17)選擇Serial,Serial line填寫COM3,Speed填寫115200,COM3串口號根據(jù)設(shè)備管理器里顯示的填寫,點(diǎn)擊“Open”

o4YBAGAJTZSAfhRwAACdJsr3Kpc568.jpg

18)在上電之前最好將開發(fā)板的啟動模式設(shè)置到JTAG模式,拔到”O(jiān)N”的位置

19)給開發(fā)板上電,準(zhǔn)備運(yùn)行程序,開發(fā)板出廠時帶有程序,這里可以把運(yùn)行模式選擇JTAG模式,然后重新上電。選擇“hello”,右鍵,可以看到很多選項(xiàng),本實(shí)驗(yàn)要用到這里的“Run as”,就是把程序運(yùn)行起來,“Run as”里又有很對選項(xiàng),選擇第一個“Launch on Hardware(Single Application Debug)”,使用系統(tǒng)調(diào)試,直接運(yùn)行程序。

20)這個時候觀察串口軟件,即可以看到輸出”Hello World”

o4YBAGAJTlGAZgGCAAAFbXt5Pb0810.png

21)為了保證系統(tǒng)的可靠調(diào)試,最好是右鍵“Run As -> Run Configuration...”

22)我們可以看一下里面的配置,其中Reset entire system是默認(rèn)選中的,這是跟以前的SDK軟件不同的。如果系統(tǒng)中還有PL設(shè)計,還必須選擇“Program FPGA”。

23)除了“Run As”,還可以“Debug As”,這樣可以設(shè)置斷點(diǎn),單步運(yùn)行

24)進(jìn)入Debug模式

25)和其他C語言開發(fā)IDE一樣,可以逐步運(yùn)行、設(shè)置斷點(diǎn)等

26)右上角可以切換IDE模式

3. 固化程序
普通的FPGA一般是可以從flash啟動,或者被動加載,ZYNQ的啟動是由ARM主導(dǎo)的,包括FPGA程序的加載,ZYNQ MPSoC啟動一般為三個步驟,在UG1085中也有介紹:

Pre-configuration satge :預(yù)加載階段由PMU控制,執(zhí)行PMU ROM中的代碼設(shè)置系統(tǒng)。PMU處理所有的復(fù)位和喚醒過程。

Configuration stage : 接下來進(jìn)入最重要的一步,當(dāng)BootRom(CSU ROM代碼的一部分)搬運(yùn)FSBL到OCM后,處理器開始執(zhí)行FSBL代碼,F(xiàn)SBL主要有以下幾個作用:

初始化PS端配置,MIO,PLL,DDR,QSPI,SD等

如果有PL端程序,加載PL端bitstream

搬運(yùn)用戶程序到DDR,并跳轉(zhuǎn)執(zhí)行

Post-configuration stage : FSBL開始執(zhí)行后,CSU ROM代碼進(jìn)入post-configuration階段,負(fù)責(zé)起系統(tǒng)干預(yù)響應(yīng),CSU為驗(yàn)證文件正確性、通過PCAP加載PL、存儲管理安全密鑰、解密等提供持續(xù)的硬件支持。

3.1 生成FSBL

FSBL是一個二級引導(dǎo)程序,完成MIO的分配、時鐘、PLL、DDR控制器初始化、SD、QSPI控制器初始化,通過啟動模式查找bitstream配置FPGA,然后搜索用戶程序加載到DDR,最后交接給應(yīng)用程序執(zhí)行。

1) 由于在新建時選擇了Generate boot components選項(xiàng),所以Platform已經(jīng)導(dǎo)入了fsbl的工程,并生成了相應(yīng)的elf文件。

o4YBAGAJUFWAJJv-AABB_WnF8nM463.png

2) 修改調(diào)試宏定義FSBL_DEBUG_INFO_VAL,可以在啟動輸出FSBL的一些狀態(tài)信息,有利于調(diào)試,但是會導(dǎo)致啟動時間變長。保存文件。可以看一下fsbl里包含了很多外設(shè)的文件,包括psu_init.c,qspi,sd等,大家可以再仔細(xì)讀讀代碼。當(dāng)然這個fsbl模板也是可以修改的,至于怎么修改根據(jù)自己的需求來做。

o4YBAGAJUJSAIbHKAACQiv0EDrY703.jpg

3) 重新Build Project

o4YBAGAJUNuADvcaAABPGzcC-RA705.png

4) 接下來我們可以點(diǎn)擊APP工程的system,右鍵選擇Build project

o4YBAGAJURuAHHljAABerDfQScQ815.png

5) 這個時候就會多出一個Debug文件夾,生成了對應(yīng)的BOOT.BIN

o4YBAGAJUVmAXEtrAAA8IGdDttw248.png

6) 還有一種方法就是,點(diǎn)擊APP工程的system右鍵選擇Creat Boot Image,彈出的窗口中可以看到生成的BIF文件路徑,BIF文件是生成BOOT文件的配置文件,還有生成的BOOT.bin文件路徑,BOOT.bin文件是我們需要的啟動文件,可以放到SD卡啟動,也可以燒寫到QSPI Flash。

o4YBAGAJUZeAYUMEAABonTjJ1fU066.png

o4YBAGAJUdWAC1rAAACLNX9zWCI226.png

7) 在Boot image partitions列表中有要合成的文件,第一個文件一定是bootloader文件,就是上面生成的fsbl.elf文件,第二個文件是FPGA配置文件bitstream,在本實(shí)驗(yàn)中由于沒有FPGA的bitstream,不需要添加,第三個是應(yīng)用程序,在本實(shí)驗(yàn)中為hello.elf,由于沒有bitstream,在本實(shí)驗(yàn)中只添加bootloader和應(yīng)用程序。點(diǎn)擊Create Image生成。

pIYBAGAJUhOAYX52AACKyUPsDsQ044.png

8) 在生成的目錄下可以找到BOOT.bin文件

o4YBAGAJUsSAIFg3AAArP4wYenQ576.png

3.2 SD卡啟動測試

1) 格式化SD卡,只能格式化為FAT32格式,其他格式無法啟動

pIYBAGAJUwOAHXwAAAA5ktYUGI4864.png

2) 放入BOOT.bin文件,放在根目錄

o4YBAGAJU0CAFT11AAAq_jjq2rs398.png

3) SD卡插入開發(fā)板的SD卡插槽

4) 啟動模式調(diào)整為SD卡啟動

5) 打開串口軟件,上電啟動,即可看到打印信息,紅色框?yàn)镕SBL啟動信息,黃色箭頭部分為執(zhí)行的應(yīng)用程序helloworld

o4YBAGAJU72ARmhQAABDM_Rbt-4143.png

3.3 QSPI啟動測試

1) 在Vitis菜單Xilinx -> Program Flash

pIYBAGAJU_uAXfhLAABZvKVxBbg953.png

2) Hardware Platform選擇最新的,Image FIle文件選擇要燒寫的BOOT.bin,F(xiàn)SBL file選擇fsbl.elf。選擇Verify after flash,在燒寫完成后校驗(yàn)flash。

o4YBAGAJVD-AA6AJAABhZxCEBIs056.png

3) 點(diǎn)擊Program等待燒寫完成

o4YBAGAJVICAcbsyAAA-INoLy-Q096.png

4) 設(shè)置啟動模式為QSPI,再次啟動,可以在串口軟件里看到與SD同樣的啟動效果。

o4YBAGAJVP2AcX1CAABKj-KD21w309.png

3.4 Vivado下燒寫QSPI

1) 在HARDWARE MANGER下選擇器件,右鍵Add Configuration Memory Device

o4YBAGAJVT6AZaFfAABaP69sDDk936.png

2) 選擇嘗試Micron,類型選擇qspi,寬度選擇x4-single,Density選擇256,這時候出現(xiàn)wt25qu256,選擇紅框型號。

pIYBAGAJVXyAd8lFAABeHXBvL70475.png

3) 右鍵選擇編程文件

pIYBAGAJVbqAcz-TAABhVU_zQGQ009.png

4) 選擇要燒寫的文件和fsbl文件,就可以燒寫了,如果燒寫時不是JTAG啟動模式,軟件會給出一個警告,所以建議燒寫QSPI的時候設(shè)置到JTAG啟動模式

pIYBAGAJVfiALEgMAABZgSiJuIQ940.png

3.5 使用批處理文件快速燒寫QSPI

1) 新建一個program_qspi.txt文本文件,擴(kuò)展名改為bat,內(nèi)容填寫如下,

E:/XilinxVitis/Vitis/2020.1/bin/program_flash 為我們工具路徑,按照安裝路徑適當(dāng)修改,-f 為要燒寫的文件,-fsbl為要燒寫使用的fsbl文件,-verify為校驗(yàn)選項(xiàng)。
callE:/XilinxVitis/Vitis/2020.1/bin/program_flash -f BOOT.bin -offset 0 -flash_type qspi-x4-single -fsbl fsbl.elf -verifypause

2) 把要燒錄的BOOT.bin、fsbl、bat文件放在一起

o4YBAGAJVjWALP08AAANTi2n-DI759.png

3) 插上JTAG線后上電,雙擊bat文件即可燒寫flash。

pIYBAGAJVnOAFwmwAAAzpSnwnYQ261.png

4. 常見問題
4.1 僅有PL端邏輯的固化

有很多人會問,如果只有PL端的邏輯,不需要PS端該怎么固化程序呢?不帶ARM的FPGA固化是沒問題的,但是對于ZYNQ來說,必須要有PS端的配合才能固化程序。那么對于前面的”PL的“Hello World”LED實(shí)驗(yàn)”該怎么固化程序呢?

1) 根據(jù)本章的PS端添加ZYNQ核并配置,最簡單的方法就是在本章工程的基礎(chǔ)上添加LED實(shí)驗(yàn)的verilog源文件,并進(jìn)行例化,組成一個系統(tǒng),并需要生成bitstream。

pIYBAGAJVrqAahvjAABJujb-5w0134.png

o4YBAGAJVviAPY8AAAAHxYMu-oE324.png

2) 生成bitstream之后,導(dǎo)出硬件,選擇include bitstream

pIYBAGAJVzaAOW9MAAAuJXQeN3g726.png

3) 在生成BOOT.BIN時,還是需要一個app工程hello,僅僅是為了生成BOOT.BIN,默認(rèn)情況下在system右鍵Build Project,即可生成包含bitstream的BOOT.BIN。

o4YBAGAJV3WAJl09AAB3N71scjQ199.png

打開Create Boot Image界面可以看到,Boot Image Partitions的文件順序是fsbl、bitstream、app,注意順序不要顛倒,利用這樣生成的BOOT.BIN就可以按照前面的啟動方式測試啟動了

o4YBAGAJV7OAJZnZAACh7e1ZWYA352.png

在course_s2文件夾,我們提供了一個名為led_qspi_sd的工程,大家可以參考。

5. 使用技巧分享
在頻繁的修改源文件,并進(jìn)行編譯的時候,最好選擇APP工程進(jìn)行Build Project,這種情況下只會生成elf文件。

o4YBAGAJV_KAGnPoAABoel1UtE0059.png

如果想生成BOOT.BIN文件,可以選擇system進(jìn)行編譯,這種情況既會生成elf也會生成BOOT.BIN,筆者最開始用的時候就吃過虧,每次編譯都是選擇system,結(jié)果每次都要等待生成BOOT.BIN,浪費(fèi)時間,大家可以注意一下。

o4YBAGAJWDCAMhsuAABirYRVWyA806.png

6. 本章小結(jié)
本章從FPGA工程師和軟件工程師兩者角度出發(fā),介紹了ZYNQ開發(fā)的經(jīng)典流程,F(xiàn)PGA工程師的主要工作是搭建好硬件平臺,提供硬件描述文件hdf給軟件工程師,軟件工程師在此基礎(chǔ)上開發(fā)應(yīng)用程序。本章是一個簡單的例子介紹了FPGA和軟件工程師協(xié)同工作,后續(xù)還會牽涉到PS與PL之間的聯(lián)合調(diào)試,較為復(fù)雜,也是ZYNQ開發(fā)的核心部分。

同時也介紹了FSBL,啟動文件的制作,SD卡啟動方式,QSPI下載及啟動方式,Vivado下載BOOT.BIN方式,本章沒有FPGA加載文件,后面的應(yīng)用中會再介紹添加FPGA加載文件制作BOOT.BIN。

后續(xù)的工程都會以本章節(jié)的配置為準(zhǔn),后面不再介紹ZYNQ的基本配置。

千里之行,始于足下,相信經(jīng)過本章的學(xué)習(xí),大家對ZYNQ開發(fā)有了基本概念,高樓穩(wěn)不穩(wěn),要看地基打的牢不牢,雖然本章較為簡單,但也有很多知識點(diǎn)待諸位慢慢消化。加油?。?!

審核編輯:何安

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600704
  • Zynq
    +關(guān)注

    關(guān)注

    9

    文章

    607

    瀏覽量

    47084
收藏 人收藏

    評論

    相關(guān)推薦

    在米爾電子MPSOC實(shí)現(xiàn)12G SDI視頻采集H.265壓縮SGMII萬兆以太網(wǎng)推流

    在本設(shè)計中,我們使用Zynq UltraScale+ MPSoC平臺(具體型號為MYIR XCZU4EV),通過FPGA實(shí)現(xiàn)對SDI視頻的H265壓縮,并通過SGMII接口推送到萬兆
    發(fā)表于 10-14 17:42

    使用TPS65086x PMIC為Xilinx Zynq UltraScale MPSoC供電

    電子發(fā)燒友網(wǎng)站提供《使用TPS65086x PMIC為Xilinx Zynq UltraScale MPSoC供電.pdf》資料免費(fèi)下載
    發(fā)表于 09-21 11:11 ?0次下載
    使用TPS65086x PMIC為Xilinx <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale</b> <b class='flag-5'>MPSoC</b>供電

    ALINX FPGA+GPU異架構(gòu)視頻圖像處理開發(fā)平臺介紹

    Alinx 最新發(fā)布的新品 Z19-M 是一款創(chuàng)新的 FPGA+GPU 異構(gòu)架構(gòu)視頻圖像處理開發(fā)平臺,它結(jié)合了 AMD Zynq UltraScale+ MPSoC
    的頭像 發(fā)表于 08-29 14:43 ?786次閱讀

    一個更適合工程師和研究僧的FPGA提升課程

    Suite 1 設(shè)計 FPGA; 嵌入式設(shè)計課程 02 ● 設(shè)計 Zynq UltraScale+ RFSoC; ● 面向軟件開發(fā)者的Zynq
    發(fā)表于 06-05 10:09

    中高端FPGA如何選擇

    Ultrascale+也僅僅支持到PCIe Gen4,也只在最高端的FPGA中支持到58Gb的GTM,大多數(shù)Virtex Ultrascale+僅僅支持32.75Gb的GTY。 Speedster7t更是支持400G
    發(fā)表于 04-24 15:09

    KU115+ZU19EG+DSP6678的雙FMC 6U VPX處理板

    和 1 片 Zynq UltraScale+ MPSoC 家族的 XCZU19EG-2FFVC1760I 以及 1 片 TI 公司的 DSP 芯片 TMS320C6678ACYPA。
    的頭像 發(fā)表于 04-08 11:11 ?535次閱讀
    KU115+ZU19EG+DSP6678的雙FMC 6U VPX處理板

    AMD推出全新Spartan UltraScale+ FPGA系列

    AMD 已經(jīng)擁有 Zynq UltraScale+ 和 Artix UltraScale+ 系列,而 Spartan UltraScale+ FPG
    發(fā)表于 03-18 10:40 ?310次閱讀
    AMD推出全新Spartan <b class='flag-5'>UltraScale+</b> <b class='flag-5'>FPGA</b>系列

    AMD 擴(kuò)展市場領(lǐng)先的 FPGA 產(chǎn)品組合,推出專為成本敏感型邊緣應(yīng)用打造的AMD Spartan UltraScale+ 系列

    股票代碼:AMD)今日宣布推出 AMD Spartan? UltraScale+? FPGA 系列,這是廣泛的 AMD 成本優(yōu)化型 FPGA 和自適應(yīng) SoC 產(chǎn)品組合的最新成員。Spartan
    發(fā)表于 03-07 15:17 ?396次閱讀

    AMD推出Spartan UltraScale+ FPGA系列產(chǎn)品

    AMD公司,全球知名的芯片巨頭,近日宣布推出全新的AMD Spartan UltraScale+ FPGA系列產(chǎn)品組合。這一新系列作為AMD成本優(yōu)化型FPGA、自適應(yīng)SoC產(chǎn)品家族的最新成員,特別針對成本敏感型邊緣應(yīng)用進(jìn)行了優(yōu)化
    的頭像 發(fā)表于 03-07 10:15 ?594次閱讀

    AMD 擴(kuò)展市場領(lǐng)先的 FPGA 產(chǎn)品組合,推出專為成本敏感型邊緣應(yīng)用打造的AMD Spartan UltraScale+ 系列

    股票代碼:AMD)今日宣布推出 AMD Spartan? UltraScale+? FPGA 系列,這是廣泛的 AMD 成本優(yōu)化型 FPGA 和自適應(yīng) SoC 產(chǎn)品組合的最新成員。Spartan
    發(fā)表于 03-06 11:17 ?302次閱讀

    AMD推出全新Spartan UltraScale+ FPGA系列

    AMD日前正式推出了全新的Spartan UltraScale+ FPGA系列,該系列作為AMD廣泛的成本優(yōu)化型FPGA和自適應(yīng)SoC產(chǎn)品組合的最新成員,專為邊緣端各種I/O密集型應(yīng)用設(shè)計。
    的頭像 發(fā)表于 03-06 11:09 ?724次閱讀

    FPGA上為FPGA設(shè)計PCB的步驟詳解

    FPGA(Zynq? UltraScale+? MPSoC) 上的 Ubuntu 22.04 桌面映像上安裝了各種 EE 設(shè)計應(yīng)用程序(包括 KiCad),并用它設(shè)計 PCB。
    的頭像 發(fā)表于 02-26 09:04 ?1815次閱讀
    在<b class='flag-5'>FPGA</b>上為<b class='flag-5'>FPGA</b>設(shè)計PCB的步驟詳解

    采用UltraScale/UltraScale+芯片的DFX設(shè)計注意事項(xiàng)

    采用UltraScale/UltraScale+芯片進(jìn)行DFX設(shè)計時,建議從以下角度對設(shè)計進(jìn)行檢查。
    的頭像 發(fā)表于 01-18 09:27 ?800次閱讀
    采用<b class='flag-5'>UltraScale</b>/<b class='flag-5'>UltraScale+</b>芯片的DFX設(shè)計注意事項(xiàng)

    針對UltraScale/UltraScale+芯片DFX應(yīng)考慮的因素有哪些(2)

    UltraScale/UltraScale+芯片開始支持BUFG_*、PLL和MMCM出現(xiàn)在動態(tài)區(qū),在7系列FPGA中這些時鐘資源只能在靜態(tài)區(qū)。
    的頭像 發(fā)表于 12-21 09:12 ?873次閱讀
    針對<b class='flag-5'>UltraScale</b>/<b class='flag-5'>UltraScale+</b>芯片DFX應(yīng)考慮的因素有哪些(2)

    針對UltraScale/UltraScale+芯片DFX應(yīng)考慮的因素有哪些(1)

    對于UltraScale/UltraScale+芯片,幾乎FPGA內(nèi)部所有組件都是可以部分可重配置的
    的頭像 發(fā)表于 12-14 16:16 ?600次閱讀
    針對<b class='flag-5'>UltraScale</b>/<b class='flag-5'>UltraScale+</b>芯片DFX應(yīng)考慮的因素有哪些(1)