0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第十六章7寸液晶屏顯示實驗

FPGA技術(shù)專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-25 09:52 ? 次閱讀

原創(chuàng)聲明:

本原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權(quán)歸本公司所有,如需轉(zhuǎn)載,需授權(quán)并注明出處。

適用于板卡型號:

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

實驗Vivado工程為“l(fā)cd7_test”。

基于HDMI輸出實驗,本章介紹7寸液晶屏的顯示。

1.硬件介紹

7寸LCD觸摸屏模塊是由TFT 液晶屏,電容觸摸屏和驅(qū)動板組成,實物照片如下:

LCD屏的驅(qū)動時序

LCD屏顯示方式從屏幕左上角一點開始,從左向右逐點顯示,每顯示完一行,再回到屏幕的左邊下一行的起始位置,在這期間,需要對行進行消隱,每行結(jié)束時,用行同步信號進行同步;LCD的驅(qū)動有兩種方式,一種為HV模式,另一種為DE模式,這兩種模式都能驅(qū)動LCD屏,數(shù)據(jù)在DCLK的上升沿采樣。以下為行顯示的時序圖:

o4YBAGAKL_eAdm0fAADoTcK-1kY808.jpg

LCD行的顯示時序參數(shù)如下表所示:

o4YBAGAKL_iAcgtMAACk0JQ2_ms888.jpg

當顯示完所有的行,形成一幀,用場同步信號進行場同步,并使LCD顯示回到屏幕左上方,同時進行場消隱,開始下一幀。以下為列顯示的時序圖:

pIYBAGAKL_iAIBfxAAAvU6bHMzA885.jpg

LCD列的顯示時序參數(shù)如下表所示:

o4YBAGAKL_mAN0DjAABSwVd-UCQ244.jpg

2. 程序設(shè)計

本章實驗其實很簡單,與HDMI顯示最大的不同是不需要i2c配置,輸出按照RGB即可。以下是文件結(jié)構(gòu)。

pIYBAGAKL_qAGg1FAABFh0q6EJo447.jpg

同時因為液晶屏的分辨率是800x480,需要修改video_define.v的宏定義。

pIYBAGAKL_qAHkSvAAAklAOeLnY400.jpg

同時將PLL的輸出時鐘頻率修改為33MHz,即800x480的像素時鐘。

o4YBAGAKL_uAGQrJAABxGRWKqI8639.jpg

同時在top.v中例化了ax_pwm,用于調(diào)節(jié)液晶屏的亮度,設(shè)置為200Hz,30%點空比。

pIYBAGAKL_uAN1A7AAAdutlyk38989.jpg

3.實驗現(xiàn)象

連接液晶屏到J15擴展口,下載程序,即可看到彩條顯示。

同時也準備了字符顯示的例程:

字符顯示

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600704
  • 觸摸屏
    +關(guān)注

    關(guān)注

    42

    文章

    2276

    瀏覽量

    115641
  • 液晶
    +關(guān)注

    關(guān)注

    6

    文章

    606

    瀏覽量

    69574
  • Zynq
    +關(guān)注

    關(guān)注

    9

    文章

    607

    瀏覽量

    47084
  • MPSoC
    +關(guān)注

    關(guān)注

    0

    文章

    195

    瀏覽量

    24215
收藏 人收藏

    評論

    相關(guān)推薦

    如何利用ZYNQ MPSoC玩DOOM?

    賽靈思和 DornerWorks 的系統(tǒng)軟件團隊在賽靈思的 Zynq? Ultrascale+? MPSoC 上啟動 Xen Project 管理程序時,我們發(fā)現(xiàn)可通過運行當年叱詫一時的流行電子游戲
    發(fā)表于 10-09 06:21

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發(fā)表于 01-07 16:02

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發(fā)表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發(fā)表于 02-02 07:53

    Ti推出面向Zynq UltraScale+ MPSoC的電源參考設(shè)計

    本篇文章將與大家討論的是Xilinx Zynq UltraScale+ MPSoC的電源解決方案參考設(shè)計。
    發(fā)表于 03-14 02:24 ?3634次閱讀
    Ti推出面向<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的電源參考設(shè)計

    Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

    本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoCZynq
    發(fā)表于 06-28 15:53 ?2649次閱讀

    Zynq UltraScale+ MPSoC的發(fā)售消息

    Zynq?UltraScale+?MPSoC,現(xiàn)已開始發(fā)售。視頻向您重點介紹了Xilinx UltraScale +產(chǎn)品組合的第一位成員
    的頭像 發(fā)表于 11-27 06:47 ?3534次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術(shù)參考手冊介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統(tǒng),在第一代Zynq-7000的基礎(chǔ)上做了全面升級,在單芯片上融合了功能強大的處理器系統(tǒng)(PS)和用
    的頭像 發(fā)表于 11-18 11:03 ?3069次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術(shù)參考手冊介紹

    ZYNQ Ultrascale+ MPSOC FPGA教程】第十六章 7液晶屏顯示實驗

    基于HDMI輸出實驗,本章介紹7液晶屏顯示。LCD顯示
    發(fā)表于 01-22 09:51 ?6次下載
    【<b class='flag-5'>ZYNQ</b> <b class='flag-5'>Ultrascale+</b> <b class='flag-5'>MPSOC</b> <b class='flag-5'>FPGA</b>教程】<b class='flag-5'>第十六章</b> <b class='flag-5'>7</b><b class='flag-5'>寸</b><b class='flag-5'>液晶屏</b><b class='flag-5'>顯示</b><b class='flag-5'>實驗</b>

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發(fā)表于 02-23 06:00 ?15次下載
    如何調(diào)試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    米爾電子zynq ultrascale+ mpsoc底板外設(shè)資源清單分享

    米爾電子推出的國內(nèi)首款zynq ultrascale+ mpsoc平臺核心板(及開發(fā)板):MYC-CZU3EG吸引了人工智能、工業(yè)控制、嵌入式視覺、ADAS、算法加速、云計算、有線/無線通信等
    發(fā)表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設(shè)資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設(shè)計

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設(shè)計,應(yīng)用于工廠自動化、機器視覺、工業(yè)質(zhì)檢等工業(yè)領(lǐng)域
    發(fā)表于 11-02 14:35 ?1529次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費下載
    發(fā)表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設(shè)計示例

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC的隔離設(shè)計示例.pdf》資料免費下載
    發(fā)表于 09-13 11:28 ?3次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設(shè)計示例

    Zynq UltraScale+ MPSoC驗證數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC驗證數(shù)據(jù)手冊.pdf》資料免費下載
    發(fā)表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗證數(shù)據(jù)手冊