0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第二十七章System Monitor

FPGA技術(shù)專欄 ? 來(lái)源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-29 10:03 ? 次閱讀

原創(chuàng)聲明:

本原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權(quán)歸本公司所有,如需轉(zhuǎn)載,需授權(quán)并注明出處。

適用于板卡型號(hào):

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

vivado工程目錄為“ps_hello/vivado”

vitis工程目錄為“ps_sysmon/vitis”

本章介紹system monitors的使用,用于監(jiān)測(cè)芯片的電壓、溫度值等,也可以通過(guò)PL端的ADC引腳作為外部信號(hào)的采集。PL端可以做17路ADC的采集,但開(kāi)發(fā)板并沒(méi)有在這些管腳上接設(shè)備,因此本章不做講解。如圖所示電壓傳感器可監(jiān)測(cè)芯片的VCCINT,VCCAUX,VCCBRAM等,PL_SYSMON的VP_0和VN_0為一對(duì)專用的ADC模擬輸入口。VAUXP[*]和VAUXN[*]也是ADC輸入口,但是不用作ADC輸入口時(shí),可用作普通IO使用。本實(shí)驗(yàn)主要測(cè)量溫度以及電壓的值。

pIYBAGAKMmeAX8rsAACNdmeZWoM123.jpg

Vivado工程,同樣以“ps_hello”工程為基礎(chǔ)。

FPGA工程師工作內(nèi)容

下面介紹FPGA工程師負(fù)責(zé)內(nèi)容。

1. Hardware讀取System Monitor

1)打開(kāi)工程,連接好開(kāi)發(fā)板電源,JTAG下載器,并將開(kāi)發(fā)板調(diào)整為JTAG模式,開(kāi)發(fā)板上電,點(diǎn)擊Open Hardware Manager,再點(diǎn)擊Auto Connect,發(fā)現(xiàn)硬件。

o4YBAGAKMmeABcndAAAvDstcNFA312.jpg

2)右鍵選中SysMon,新建Dashboard

pIYBAGAKMmiAJgwpAABlRdLx0RM530.jpg

3)PS端和PL端都選擇,點(diǎn)擊OK

o4YBAGAKMmmAQKZFAABIk1vnPYQ398.jpg

4)默認(rèn)會(huì)有溫度信息

pIYBAGAKMmmABKWOAABfNCXv7Ro182.jpg

5)點(diǎn)擊+將電壓值添加到窗口

o4YBAGAKMmqAR1FuAAA3LsqPrO4757.jpg

6)顯示如下

pIYBAGAKMmqAO1aKAAB7gU4SmJE885.jpg

此方法優(yōu)點(diǎn)是圖形化顯示,較為直觀,但缺點(diǎn)是無(wú)法得到數(shù)據(jù)值。下面介紹PS讀取XADC信息

軟件工程師工作內(nèi)容

以下為軟件工程師負(fù)責(zé)內(nèi)容。

2. PS讀取System Monitor信息

1)打開(kāi)Vitis軟件,新建Vitis工程

o4YBAGAKMmuATxj3AAAnmzhqwDc636.jpg

2)可以在BSP中看到system monitor,可以導(dǎo)入Example學(xué)習(xí)

pIYBAGAKMmuAcLq9AAAVEyKAvEU310.jpg

3)此實(shí)驗(yàn)現(xiàn)象為讀取溫度和電壓的數(shù)據(jù),并每隔1S通過(guò)串口打印出來(lái)。通過(guò)XSysMonPsu_GetAdcData函數(shù)讀取原始值,用XSysMonPsu_RawToTemperature_OnChip宏將ADC值轉(zhuǎn)換為溫度值。用XSysMonPsu_RawToVoltage轉(zhuǎn)換為電壓值。

o4YBAGAKMmyAdLehAABujpmTAlQ174.jpg

4)下載后在串口工具中可看到打印信息如下,讀到溫度,VCCINT,VCCAUX的值

pIYBAGAKMm2AZsGdAAA0-8zhE3M880.jpg
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600704
  • adc
    adc
    +關(guān)注

    關(guān)注

    97

    文章

    6351

    瀏覽量

    543427
  • 開(kāi)發(fā)板
    +關(guān)注

    關(guān)注

    25

    文章

    4846

    瀏覽量

    96887
  • Zynq
    +關(guān)注

    關(guān)注

    9

    文章

    607

    瀏覽量

    47084
  • MPSoC
    +關(guān)注

    關(guān)注

    0

    文章

    195

    瀏覽量

    24215
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    【正點(diǎn)原子FPGA連載】第二十七章gpio子系統(tǒng)下的LED驅(qū)動(dòng)實(shí)驗(yàn)-領(lǐng)航者ZYNQ之linux開(kāi)發(fā)指南

    原子公眾號(hào),獲取最新資料第二十七章gpio子系統(tǒng)下的LED驅(qū)動(dòng)實(shí)驗(yàn)在上一當(dāng)中已經(jīng)給大家介紹了linux的gpio子系統(tǒng),那本章我們就來(lái)編寫一個(gè)基于gpio子系統(tǒng)API的LED驅(qū)動(dòng)程序,本章將在第二十五章
    發(fā)表于 09-16 17:40

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發(fā)表于 01-07 16:02

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發(fā)表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發(fā)表于 02-02 07:53

    第二十七講 同步時(shí)序邏輯電路的設(shè)計(jì)

    第二十七講 同步時(shí)序邏輯電路的設(shè)計(jì) 7.5 同步時(shí)序邏輯電路的設(shè)計(jì)用SSI觸發(fā)器16進(jìn)制以內(nèi)7.5.1 同步時(shí)序邏輯電路的設(shè)計(jì)方法
    發(fā)表于 03-30 16:31 ?3909次閱讀
    <b class='flag-5'>第二十七</b>講 同步時(shí)序邏輯電路的設(shè)計(jì)

    Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

    本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoCZynq
    發(fā)表于 06-28 15:53 ?2649次閱讀

    Zynq UltraScale+ MPSoC的發(fā)售消息

    Zynq?UltraScale+?MPSoC,現(xiàn)已開(kāi)始發(fā)售。視頻向您重點(diǎn)介紹了Xilinx UltraScale +產(chǎn)品組合的第一位成員
    的頭像 發(fā)表于 11-27 06:47 ?3534次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術(shù)參考手冊(cè)介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統(tǒng),在第一代Zynq-7000的基礎(chǔ)上做了全面升級(jí),在單
    的頭像 發(fā)表于 11-18 11:03 ?3069次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術(shù)參考手冊(cè)介紹

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發(fā)表于 02-23 06:00 ?15次下載
    如何調(diào)試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    【正點(diǎn)原子FPGA連載】第二十七章DS18B20數(shù)字溫度傳感器實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)之FPGA開(kāi)發(fā)指南_V2.1

    【正點(diǎn)原子FPGA連載】第二十七章DS18B20數(shù)字溫度傳感器實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)之FPGA開(kāi)發(fā)指南_V2.1
    發(fā)表于 11-25 11:06 ?28次下載
    【正點(diǎn)原子<b class='flag-5'>FPGA</b>連載】<b class='flag-5'>第二十七章</b>DS18B20數(shù)字溫度傳感器實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)之<b class='flag-5'>FPGA</b>開(kāi)發(fā)指南_V2.1

    米爾電子zynq ultrascale+ mpsoc底板外設(shè)資源清單分享

    米爾電子推出的國(guó)內(nèi)首款zynq ultrascale+ mpsoc平臺(tái)核心板(及開(kāi)發(fā)板):MYC-CZU3EG吸引了人工智能、工業(yè)控制、嵌入式視覺(jué)、ADAS、算法加速、云計(jì)算、有線/無(wú)線通信等
    發(fā)表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設(shè)資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設(shè)計(jì)

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設(shè)計(jì),應(yīng)用于工廠自動(dòng)化、機(jī)器視覺(jué)、工業(yè)質(zhì)檢等工業(yè)領(lǐng)域
    發(fā)表于 11-02 14:35 ?1529次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設(shè)計(jì)示例

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC的隔離設(shè)計(jì)示例.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 11:28 ?3次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設(shè)計(jì)示例

    Zynq UltraScale+ MPSoC驗(yàn)證數(shù)據(jù)手冊(cè)

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC驗(yàn)證數(shù)據(jù)手冊(cè).pdf》資料免費(fèi)下載
    發(fā)表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗(yàn)證數(shù)據(jù)手冊(cè)